[{"@context":"http:\/\/schema.org\/","@type":"BlogPosting","@id":"https:\/\/wiki.edu.vn\/wiki17\/2021\/01\/27\/aldec-wikipedia\/#BlogPosting","mainEntityOfPage":"https:\/\/wiki.edu.vn\/wiki17\/2021\/01\/27\/aldec-wikipedia\/","headline":"Aldec – Wikipedia","name":"Aldec – Wikipedia","description":"before-content-x4 ALDEC, Inc. Art Privat Industrie EDA Gegr\u00fcndet 1984 Hauptquartier Henderson, Nevada, Vereinigte Staaten Produkte Active-HDL, ALINT-PRO, Riviera-PRO, Spec-TRACER, RTAX","datePublished":"2021-01-27","dateModified":"2021-01-27","author":{"@type":"Person","@id":"https:\/\/wiki.edu.vn\/wiki17\/author\/lordneo\/#Person","name":"lordneo","url":"https:\/\/wiki.edu.vn\/wiki17\/author\/lordneo\/","image":{"@type":"ImageObject","@id":"https:\/\/secure.gravatar.com\/avatar\/44a4cee54c4c053e967fe3e7d054edd4?s=96&d=mm&r=g","url":"https:\/\/secure.gravatar.com\/avatar\/44a4cee54c4c053e967fe3e7d054edd4?s=96&d=mm&r=g","height":96,"width":96}},"publisher":{"@type":"Organization","name":"Enzyklop\u00e4die","logo":{"@type":"ImageObject","@id":"https:\/\/wiki.edu.vn\/wiki4\/wp-content\/uploads\/2023\/08\/download.jpg","url":"https:\/\/wiki.edu.vn\/wiki4\/wp-content\/uploads\/2023\/08\/download.jpg","width":600,"height":60}},"image":{"@type":"ImageObject","@id":"https:\/\/upload.wikimedia.org\/wikipedia\/commons\/thumb\/5\/56\/Aldec%2C_Inc._Company_Logo%2C_Crescent_style.svg\/220px-Aldec%2C_Inc._Company_Logo%2C_Crescent_style.svg.png","url":"https:\/\/upload.wikimedia.org\/wikipedia\/commons\/thumb\/5\/56\/Aldec%2C_Inc._Company_Logo%2C_Crescent_style.svg\/220px-Aldec%2C_Inc._Company_Logo%2C_Crescent_style.svg.png","height":"70","width":"220"},"url":"https:\/\/wiki.edu.vn\/wiki17\/2021\/01\/27\/aldec-wikipedia\/","wordCount":2309,"articleBody":" (adsbygoogle = window.adsbygoogle || []).push({});before-content-x4ALDEC, Inc.ArtPrivatIndustrieEDAGegr\u00fcndet1984HauptquartierHenderson, Nevada, Vereinigte StaatenProdukteActive-HDL, ALINT-PRO, Riviera-PRO, Spec-TRACER, RTAX \/ RTSX-Prototyping, HES-DVM, HES-7, TySOMWebseitealdec.comAldec, Inc. ist ein in Privatbesitz befindliches Unternehmen f\u00fcr die Automatisierung elektronischer Designs mit Sitz in Henderson, Nevada, das Software und Hardware f\u00fcr die Erstellung und \u00dcberpr\u00fcfung digitaler Designs f\u00fcr FPGA- und ASIC-Technologien bereitstellt. Als Mitglied von Accellera und der IEEE Standards Association beteiligt sich Aldec aktiv an der Entwicklung neuer Standards und der Aktualisierung bestehender Standards (z. B. VHDL, SystemVerilog). Aldec bietet eine HDL-Simulations-Engine f\u00fcr andere EDA-Tools wie Altium Designer und b\u00fcndelt eine spezielle Version seiner Tools mit Software von FPGA-Anbietern wie Lattice.[1]Table of ContentsGeschichte[edit]Produkte[edit]Software[edit]Hardware[edit]Bildung[edit]Siehe auch[edit]Verweise[edit]Externe Links[edit]Geschichte[edit]Aldec wurde 1984 von Dr. Stanley M. Hyduke gegr\u00fcndet.1985 ver\u00f6ffentlichte das Unternehmen sein erstes Produkt: einen MS-DOS-basierten Gate-Level-Simulator SUSIE. In den n\u00e4chsten Jahren wurden mehrere Versionen des Produkts als Begleitersimulatoren f\u00fcr g\u00e4ngige Schaltfl\u00e4cheneingabewerkzeuge wie OrCAD verwendet.ALDEC erkannte die wachsende Beliebtheit von Microsoft Windows und portierte seinen Simulator auf diese Plattform und f\u00fcgte ein Tool zur schematischen Eingabe und Entwurfsverwaltung hinzu. Die neue Software-Suite wurde 1992 als ver\u00f6ffentlicht Active-CAD (Einige Low-End-Versionen der Suite wurden f\u00fcr einige Zeit unter verkauft Susie-CAD Marke). Eines der charakteristischen Merkmale von Active-CAD war die M\u00f6glichkeit, schematische \u00c4nderungen sofort auf den Simulator zu \u00fcbertragen, wodurch das Verhalten der modifizierten Schaltung schnell \u00fcberpr\u00fcft werden konnte.1996 unterzeichnete Aldec eine Vereinbarung mit Xilinx, die den Vertrieb der Nur-Xilinx-Version von Active-CAD unter der Stiftung Name.W\u00e4hrend VHDL und Verilog von Active-CAD in Form von schematischen Makros unterst\u00fctzt wurden, wurde die Ver\u00f6ffentlichung von Active-VHDL 1997 wechselte der \u00dcbergang vom netzlistenbasierten zum HDL-basierten Design. Nach dem Hinzuf\u00fcgen der Verilog-Unterst\u00fctzung wurde Active-VHDL in umbenannt Active-HDL und ist noch verf\u00fcgbar (ab 2020).Im Jahr 2000 ver\u00f6ffentlichte ALDEC einen leistungsstarken HDL-Simulator, der nicht nur unter Windows, sondern auch auf Solaris- und Linux-Plattformen funktioniert.[2]Im Jahr 2001 erweiterte ALDEC seine Produktlinie um Hardware: HES-Plattform (Hardware Embedded Simulation) Dies erm\u00f6glicht die Hardwarebeschleunigung der HDL-Simulation und das inkrementelle Prototyping von Hardware.Im Jahr 2003 wurde Riviera-PRO ver\u00f6ffentlicht, das die auf Assertions basierende \u00dcberpr\u00fcfung unterst\u00fctzt (OpenVera, PSL und SystemVerilog k\u00f6nnen zum Schreiben von Eigenschaften, Assertions und Coverage verwendet werden.)Die Unterst\u00fctzung f\u00fcr SystemC und den Nicht-Assertion-Teil von SystemVerilog wurde 2004 hinzugef\u00fcgt. Die Schnittstellen zu MATLAB und Simulink wurden 2005 erstmals in Aldec-Tools angezeigt.2006 unterst\u00fctzte Riviera-PRO als erster Simulator \u00d6ffnen Sie die IP-Verschl\u00fcsselungsinitiative durch Synplicity.[3]Angeregt durch Anfragen von Verilog-Benutzern ver\u00f6ffentlichte ALDEC 2007 ein erweitertes, vom Benutzer konfigurierbares Fusseltool, das die von erstellten Regeln implementiert STARC – Japanisches Konsortium gro\u00dfer Siliziumanbieter.2008 ver\u00f6ffentlicht ALINT: Design Rule Checker (STARC – Japanisches Konsortium von 11 ASIC-Unternehmen)2010 ver\u00f6ffentlicht Support f\u00fcr VHDL IEEE 1076-2008.2010 wird Aldecs Active-HDL als bestes FPGA-Design- und Simulationstool in China ausgezeichnetIm Jahr 2011 bietet Aldec UVM 1.0-, OVM 2.1.2- und VMM 1.1.1a-Support, ver\u00f6ffentlicht den 4-MHz-Designemulator und gewinnt den Preis f\u00fcr den besten FPGA-Anbieter f\u00fcr Design- und Verifizierungsplattformen in China.2012 steigt Aldec mit HES-7 in den SoC \/ ASIC-Prototyping-Markt ein und startet gemeinsam OSVVM, VHDL Verification.Im Jahr 2013 ver\u00f6ffentlicht Aldec Spec-TRACER Requirements Lifecycle ManagementIm Jahr 2015 ver\u00f6ffentlicht Aldec ALINT-PRO mit CDC-\u00dcberpr\u00fcfung.2016 ver\u00f6ffentlicht Aldec die TySOM-Produktlinie f\u00fcr die eingebettete Entwicklung mit SoC-FPGAsProdukte[edit]Software[edit]Active-HDL – FPGA-Entwicklungsumgebung, die auf dem g\u00e4ngigen Kernel-HDL-Simulator basiert. Unterst\u00fctzt textbasierte und grafische Tools zur Eingabe und zum Debuggen von Designs, erm\u00f6glicht die Simulation in verschiedenen Sprachen (VHDL \/ Verilog \/ EDIF \/ SystemC \/ SystemVerilog) und bietet eine einheitliche Schnittstelle zu verschiedenen Synthese- und Implementierungstools. Unterst\u00fctzt auch die auf Assertions basierende \u00dcberpr\u00fcfung mit Open Vera-, PSL- oder Systemverilog Assertion-Anweisungen. Es sind spezielle Versionen der Software verf\u00fcgbar, die nur einen FPGA-Anbieter unterst\u00fctzen, z Active-HDL Lattice Edition. Nur auf der MS Windows-Plattform verf\u00fcgbar.Riviera-PRO – High-End-HDL-Simulator f\u00fcr ASIC- und gro\u00dfe FPGA-Designs. Riviera-PRO erweitert die Simulationsfunktionen von Active-HDL um Unterst\u00fctzung f\u00fcr erweiterte Verifizierungsmethoden wie Flusen, Funktionsabdeckung, OVM und UVM, Hardwarebeschleunigung und Prototyping. Riviera-PRO ist eine neue Generation des als Riviera-Classic bekannten Tools und unter MS Windows und Linux in 32-Bit und 64-Bit verf\u00fcgbar.HES-DVM – L\u00f6sung zur Beschleunigung der HDL-Simulation (10- bis 50-fache Reduzierung der \u00dcberpr\u00fcfungszeit), Emulation des gesamten Designs und Hardware- \/ Software-Co-Simulation (n\u00fctzlich bei der Entwicklung eingebetteter Systeme).ALINT-PRO – Single Framework f\u00fcr Design Rule Checker \/ Linting und CDC-Analyse. ALINT-PRO ist in der Lage, umfassende Textanalysen einzelner Verilog-, VHDL- und SystemVerilog-Entwurfsquellen sowie erweiterte \u00dcberpr\u00fcfungen der gesamten Entwurfshierarchie durchzuf\u00fchren. Es stehen mehrere S\u00e4tze hoch konfigurierbarer, vordefinierter Regeln zur Verf\u00fcgung, und mithilfe der bereitgestellten API k\u00f6nnen neue benutzerdefinierte Regeln erstellt werden. Die integrierte phasenbasierte Linting-Methode erm\u00f6glicht eine schnellere und effizientere \u00dcberpr\u00fcfung von Regeln. ALINT-PRO unterst\u00fctzt die reibungslose Ausf\u00fchrung der Regelpr\u00fcfungen f\u00fcr Designs, die auf die FPGA-Implementierung abzielen, unter Verwendung von Xilinx-, Intel-, Microsemi- und Lattice-Technologien mit minimalem SetupSpec-TRACER – Einheitliche Anwendung f\u00fcr das Lebenszyklusmanagement von Anforderungen, die speziell f\u00fcr FPGA- und ASIC-Designs entwickelt wurde. Erleichtert die Erfassung, Verwaltung, Analyse, R\u00fcckverfolgbarkeit und Berichterstellung von Anforderungen; l\u00e4sst sich in Windows-basierte HDL-Design- und Simulationstools integrieren.IP-Produkte – eine Reihe von allgemeinen Bl\u00f6cken f\u00fcr geistiges Eigentum, die von Aldec und seinen Partnern erstellt und in Active-HDL- und Riviera-PRO-Umgebungen validiert wurden.Hardware[edit]HES-7 – ASGA-Prototyping-L\u00f6sung mit hoher Kapazit\u00e4t und hoher Dichte auf FPGA-Basis. Mit Hilfe von Xilinx Virtex-7 FPGA-basierten Prototyping-Boards erm\u00f6glicht HES-7 das Testen von Designs von bis zu 24 Millionen ASIC-Gates.Microsemi RTAX \/ RTSX-Prototyping – die effiziente Methode zum Prototyping von Designs mit strahlungsgeh\u00e4rtetem FPGA durch Verwendung von Footprint-kompatiblen Prototyping-Boards mit Flash-basierten, umprogrammierbaren Chips. Die L\u00f6sung enth\u00e4lt optionale Software f\u00fcr die \u00dcbersetzung von Netzlisten.DO-254 Konformit\u00e4tstestsystem (CTS) – Es handelt sich um eine vollst\u00e4ndige Verifizierungsl\u00f6sung, mit der sichergestellt werden kann, dass das FPGA auf Ihrem System DO-254 \/ ED80-kompatibel ist. Das CTS bietet dem Benutzer die M\u00f6glichkeit, anstelle der herk\u00f6mmlichen Hardwaretests eine erweiterte Methode der In-Hardware-Simulation durchzuf\u00fchren. Als Testvektoren f\u00fcr die In-Hardware-Simulation k\u00f6nnen Sie dieselbe Testbench mit 100% Code Coverage-Ergebnissen aus der RTL-Simulation wiederverwenden. Durch die Wiederverwendung derselben Testbench kann die Hardware\u00fcberpr\u00fcfung auf einfache Weise die R\u00fcckverfolgbarkeit der Anforderungen erreichen. Sie k\u00f6nnen die In-Hardware-Simulation mit Geschwindigkeit auf dem Zielger\u00e4t durchf\u00fchren. Das CTS erm\u00f6glicht auch den einfachen Vergleich und das Debuggen der Ergebnisse der In-Hardware-Simulation und der HDL-Simulation \u00fcber das Wellenformformat.TySOM – Eingebettete Entwicklungskarten und FMC-Tochterkarten basierend auf der Xilinx Zynq-7000-Serie f\u00fcr IoT, ADAS und Industrial Machine Vision.Bildung[edit]Aldec bietet Bildungseinrichtungen weltweit voll funktionsf\u00e4hige, stark reduzierte Versionen seiner Software (Kumaon Engineering College, Nationale Technologieuniversit\u00e4t). Aldec bietet auch eine spezielle Student-Edition von Active-HDL an, die von der Aldec-Website heruntergeladen werden kann. Die Student-Edition verf\u00fcgt \u00fcber eine begrenzte Designkapazit\u00e4t und eine gewisse Einschr\u00e4nkung der Programmfunktionalit\u00e4t, unterst\u00fctzt jedoch beide Designsprachen (Verilog bzw. VHDL).Das Unternehmen unterst\u00fctzt auch die lokale Bildung – 1999 trug es zur Einrichtung des “Aldec Digital Design Laboratory” am UNLV bei.[4]Die Aldec-Software enth\u00e4lt mehrere B\u00fccher zum Thema elektronisches Design (z “Digitales Design: Prinzipien und Praktiken”, “ZEITGEN\u00d6SSISCHES LOGIKDESIGN”).Die Student Edition von Active-HDL war der erste HDL-Simulator, der bei Walmart verkauft wurde.[5] Siehe auch[edit]Verweise[edit]^ EN-Genie Programmierbare Logik ZONE, “Gitter und Aldec bilden Allianz f\u00fcr FPGA-Design und Design\u00fcberpr\u00fcfung”^ Richard G\u00f6ring, “Aldec f\u00fchrt Linux-basierten Mixed-Language-Simulator ein”, EETimes.com, 13. November 2000^ Christine Evans-Pughe, “Der Schutz Ihrer IP ist jetzt noch einfacher.” Archiviert 2006-10-18 an der Wayback-Maschine, Paragraph 11, Electronics Weekly, 13. Oktober 2006^ ECE-UNLV-Mitarbeiter, “ALDEC (…) spielt eine wichtige Rolle in ECE-Programmen” Archiviert 2006-07-20 at the Wayback Machine, Seite 3, ECE-UNLV News, Band 5, 2005^ EDN Online-Mitarbeiter, “EDA-Software in Walmart verkauft.” Archiviert 2007-09-27 an der Wayback Machine, EDN, 20. Februar 2006Externe Links[edit] (adsbygoogle = window.adsbygoogle || []).push({});after-content-x4"},{"@context":"http:\/\/schema.org\/","@type":"BreadcrumbList","itemListElement":[{"@type":"ListItem","position":1,"item":{"@id":"https:\/\/wiki.edu.vn\/wiki17\/#breadcrumbitem","name":"Enzyklop\u00e4die"}},{"@type":"ListItem","position":2,"item":{"@id":"https:\/\/wiki.edu.vn\/wiki17\/2021\/01\/27\/aldec-wikipedia\/#breadcrumbitem","name":"Aldec – Wikipedia"}}]}]