[{"@context":"http:\/\/schema.org\/","@type":"BlogPosting","@id":"https:\/\/wiki.edu.vn\/wiki21\/2020\/12\/31\/amd-10h-wikipedia\/#BlogPosting","mainEntityOfPage":"https:\/\/wiki.edu.vn\/wiki21\/2020\/12\/31\/amd-10h-wikipedia\/","headline":"AMD 10h – Wikipedia","name":"AMD 10h – Wikipedia","description":"before-content-x4 Dieser Artikel muss sein Aktualisiert. Bitte aktualisieren Sie diesen Artikel, um aktuelle Ereignisse oder neu verf\u00fcgbare Informationen wiederzugeben. (Oktober","datePublished":"2020-12-31","dateModified":"2020-12-31","author":{"@type":"Person","@id":"https:\/\/wiki.edu.vn\/wiki21\/author\/lordneo\/#Person","name":"lordneo","url":"https:\/\/wiki.edu.vn\/wiki21\/author\/lordneo\/","image":{"@type":"ImageObject","@id":"https:\/\/secure.gravatar.com\/avatar\/44a4cee54c4c053e967fe3e7d054edd4?s=96&d=mm&r=g","url":"https:\/\/secure.gravatar.com\/avatar\/44a4cee54c4c053e967fe3e7d054edd4?s=96&d=mm&r=g","height":96,"width":96}},"publisher":{"@type":"Organization","name":"Enzyklop\u00e4die","logo":{"@type":"ImageObject","@id":"https:\/\/wiki.edu.vn\/wiki4\/wp-content\/uploads\/2023\/08\/download.jpg","url":"https:\/\/wiki.edu.vn\/wiki4\/wp-content\/uploads\/2023\/08\/download.jpg","width":600,"height":60}},"image":{"@type":"ImageObject","@id":"https:\/\/upload.wikimedia.org\/wikipedia\/commons\/thumb\/b\/bd\/Ambox_current_red_Asia_Australia.svg\/42px-Ambox_current_red_Asia_Australia.svg.png","url":"https:\/\/upload.wikimedia.org\/wikipedia\/commons\/thumb\/b\/bd\/Ambox_current_red_Asia_Australia.svg\/42px-Ambox_current_red_Asia_Australia.svg.png","height":"34","width":"42"},"url":"https:\/\/wiki.edu.vn\/wiki21\/2020\/12\/31\/amd-10h-wikipedia\/","wordCount":17935,"articleBody":" (adsbygoogle = window.adsbygoogle || []).push({});before-content-x4Dieser Artikel muss sein Aktualisiert. Bitte aktualisieren Sie diesen Artikel, um aktuelle Ereignisse oder neu verf\u00fcgbare Informationen wiederzugeben. (Oktober 2010) (adsbygoogle = window.adsbygoogle || []).push({});after-content-x4Das AMD Familie 10h, oder K10ist eine Mikroprozessor-Mikroarchitektur von AMD, die auf der K8-Mikroarchitektur basiert.[1] Obwohl es einmal Berichte gab, dass der K10 abgesagt worden war,[2] Die ersten Opteron-Produkte der dritten Generation f\u00fcr Server wurden am 10. September 2007 auf den Markt gebracht. Die Phenom-Prozessoren f\u00fcr Desktops folgten und wurden am 11. November 2007 als unmittelbare Nachfolger der K8-Prozessorserie (Athlon 64, Opteron, 64-Bit) eingef\u00fchrt Sempron).Table of ContentsNomenklaturen[edit]Zeitplan f\u00fcr Start und Lieferung[edit]Zeitleiste[edit]Historische Informationen[edit]Best\u00e4tigung von Zeitrahmen[edit]TLB Bug[edit]Interne Codenamen[edit]Nachfolgende Produkteinf\u00fchrungen[edit]\u00c4nderung der Modellnomenklaturen[edit]Live-Demonstrationen[edit]Schwester Mikroarchitektur[edit]Iterationen der Ver\u00f6ffentlichung[edit]Eigenschaften[edit]Herstellungstechnologie[edit]Unterst\u00fctzte DRAM-Standards[edit]H\u00f6herer Rechendurchsatz[edit]Merkmale der Mikroarchitektur[edit]Feature-Tabellen[edit]CPUs[edit]APUs[edit]Desktop[edit]Ph\u00e4nomenmodelle[edit]Agena (65 nm SOI, Quad-Core)[edit]Toliman (65 nm SOI, Tri-Core)[edit]Phenom II Modelle[edit]Thuban (45 nm SOI, Hexa-Kern)[edit]Zosma (45 nm SOI, Quad-Core)[edit]Deneb (45 nm SOI, Quad-Core)[edit]42 TWKR Limited Edition (45 nm SOI, Quad-Core)[edit]Propus (45 nm SOI, Quad-Core)[edit]Heka (45 nm SOI, Tri-Core)[edit]Callisto (45 nm SOI, Dual-Core)[edit]Regor (45 nm SOI, Dual-Core)[edit]Athlon X2 Modelle[edit]Kuma (65 nm SOI, Dual-Core)[edit]Regor \/ Deneb (45 nm SOI, Dual-Core)[edit]Athlon II Modelle[edit]Zosma (45 nm SOI, Quad-Core)[edit]Propus (45 nm SOI, Quad-Core)[edit]Rana (45 nm SOI, Tri-Core)[edit]Regor (45 nm SOI, Dual-Core)[edit]Sargas (45 nm SOI, Single-Core)[edit]Luchs (32 nm SOI, Dual oder Quad-Core)[edit]Sempron-Modelle[edit]Sargas (45 nm SOI, Single-Core)[edit]Sempron X2 Modelle[edit]Regor (45 nm SOI, Dual-Core)[edit]Luchs (32 nm SOI, Dual-Core)[edit]Llano “APUs”[edit]Luchs (32 nm SOI, Dual oder Quad-Core)[edit]Turion II (Ultra) Modelle[edit]“”Kaspisch“(45 nm SOI, Dual-Core)[edit]Turion II Modelle[edit]“”Kaspisch“(45 nm SOI, Dual-Core)[edit]“”Champlain“(45 nm SOI, Dual-Core)[edit]Athlon II Modelle[edit]“”Kaspisch“(45 nm SOI, Dual-Core)[edit]“”Champlain“(45 nm SOI, Dual-Core)[edit]Sempron-Modelle[edit]“”Kaspisch“(45 nm SOI, Single-Core)[edit]Turion II Neo Modelle[edit]“”Genf“(45 nm SOI, Dual-Core)[edit]Athlon II Neo Modelle[edit]“”Genf“(45 nm SOI, Dual-Core)[edit]“”Genf“(45 nm SOI, Single-Core)[edit]V Modelle[edit]“”Genf“(45 nm SOI, Single-Core)[edit]“”Champlain“(45 nm SOI, Single-Core)[edit]Phenom II-Modelle[edit]“”Champlain“(45 nm SOI, Quad-Core)[edit]“”Champlain(45 nm SOI, Tri-Core)[edit]“”Champlain“(45 nm SOI, Dual-Core)[edit]Llano APUs[edit]“”Sabine“(32 nm SOI, Dual oder Quad-Core)[edit]Nachfolger[edit]Derivate der Familie 11h und 12h[edit] Turion X2 Ultra Familie 11h[edit] Fusionsfamilie 12h[edit]Mediendiskussionen[edit]Siehe auch[edit]Verweise[edit]Externe Links[edit]Nomenklaturen[edit]Es wird allgemein von der PC-Community angenommen, dass ab der Zeit nach der Verwendung des Codenamens K8 F\u00fcr die AMD K8- oder Athlon 64-Prozessorfamilie verwendet AMD keine K-Nomenklaturen mehr (urspr\u00fcnglich f\u00fcr Kryptonite)[3]) da nach Anfang 2005 keine K-Nomenklatur-Namenskonvention \u00fcber K8 hinaus in offiziellen AMD-Dokumenten und Pressemitteilungen enthalten ist. (adsbygoogle = window.adsbygoogle || []).push({});after-content-x4Der Name “K8L“wurde erstmals 2005 von Charlie Demerjian gepr\u00e4gt, zu der Zeit als Schriftsteller bei Der Ermittler,[4] und wurde von der breiteren IT-Community als praktische Abk\u00fcrzung verwendet[5] Laut offiziellen AMD-Dokumenten wurde die Prozessorfamilie als “AMD Next Generation Processor Technology” bezeichnet.[6]Die Mikroarchitektur wurde auch als bezeichnet Sterne, da die Codenamen f\u00fcr die Desktop-Prozessorreihe unter Sternen oder Sternbildern benannt wurden (die urspr\u00fcnglichen Phenom-Modelle hatten die Codenamen Agena und Toliman).In einem Videointerview[7] Giuseppe Amato best\u00e4tigte, dass der Codename ist K10.Der Ermittler selbst hat herausgefunden, dass der Codename “K8L“bezog sich auf eine Low-Power-Version der K8-Familie, sp\u00e4ter Turion 64 genannt, und das K10 war der offizielle Codename f\u00fcr die Mikroarchitektur.[5] (adsbygoogle = window.adsbygoogle || []).push({});after-content-x4AMD bezeichnet es als 10h-Prozessoren der Familie, da es der Nachfolger der Family 0Fh Processors (Codename K8) ist. 10h und 0Fh beziehen sich auf das Hauptergebnis der CPUID x86-Prozessoranweisung. Bei der hexadezimalen Nummerierung entspricht 0Fh (h steht f\u00fcr die hexadezimale Nummerierung) der Dezimalzahl 15 und 10h der Dezimalzahl 16. (Die Form “K10h”, die manchmal angezeigt wird, ist eine falsche Mischung aus dem Code “K” und der Familienidentifikationsnummer.)Zeitplan f\u00fcr Start und Lieferung[edit]Zeitleiste[edit]Historische Informationen[edit]Im Jahr 2003 erl\u00e4uterte AMD in verschiedenen Veranstaltungen und Analystentreffen, einschlie\u00dflich des Microprocessor Forum 2003, die Funktionen f\u00fcr kommende Generationen von Mikroprozessoren nach der K8-Prozessorfamilie.[8] Die beschriebenen Funktionen, die von den Mikroprozessoren der n\u00e4chsten Generation bereitgestellt werden sollen, lauten wie folgt:Am 13. April 2006 best\u00e4tigte Henri Richard, Executive Vice President und Chief Officer f\u00fcr Marketing und Vertrieb bei AMD[9] die Existenz der neuen Mikroarchitektur in einem Interview.Im Juni 2006 hatte AMD-Vizepr\u00e4sident Henri Richard ein weiteres Interview mit DigiTimes kommentierte die bevorstehenden Prozessorentwicklungen:F: Wie sehen Sie die Entwicklung der AMD-Prozessortechnologie in den n\u00e4chsten drei bis vier Jahren umfassend? A: Nun, wie Dirk Meyer bei unserem Analystentreffen kommentierte, stehen wir nicht still. Wir haben \u00fcber die Aktualisierung der aktuellen K8-Architektur gesprochen, die in ’07 erscheinen wird, mit signifikanten Verbesserungen in vielen verschiedenen Bereichen des Prozessors, einschlie\u00dflich Integer-Leistung, Gleitkomma-Leistung, Speicherbandbreite, Verbindungen und so weiter.– –AMD Executive Vice President, Henri Richard, Quelle: DigiTimes Interview mit Henri Richard[10]Best\u00e4tigung von Zeitrahmen[edit] Am 21. Juli 2006 best\u00e4tigten AMD-Pr\u00e4sident und Chief Operating Officer (COO) Dirk Meyer und Senior VP Marty Seyer den Starttermin f\u00fcr neue Mikroprozessoren von Revision H. unter der neuen Mikroarchitektur ist f\u00fcr Mitte 2007 geplant; und dass es eine Quad-Core-Version f\u00fcr Server, Workstations und High-End-Desktops sowie eine Dual-Core-Version f\u00fcr Consumer-Desktops enthalten wird. Manche der Revision H. Opterons, die 2007 ausgeliefert wurden, haben eine thermische Auslegungsleistung von 68 W.Am 15. August 2006, bei der Einf\u00fchrung der ersten Socket F-Dual-Core-Opterons, gab AMD bekannt, dass das Unternehmen die endg\u00fcltige Entwurfsphase (Tape-Out) von Quad-Core-Opteron-Teilen erreicht hat. Die n\u00e4chsten Phasen sind Tests und Validierungen. Die Probenahme erfolgt nach einigen Monaten.[11]Am 29. Juni 2007 gab AMD bekannt, dass Serverprozessoren den Codenamen haben Barcelona wird im August 2007 ausgeliefert, und entsprechende Serversysteme von Partnern werden im September desselben Jahres ausgeliefert.[12]Am 13. August wurden die gemeldeten Versanddaten f\u00fcr die ersten Barcelona-Prozessoren auf den 10. September 2007 festgelegt. Sie k\u00fcndigten an, dass die Opteron 2348 und 2350 Kernfrequenzen von 1,9 GHz und 2,0 GHz haben werden.[13]TLB Bug[edit]Im November 2007 stellte AMD die Lieferung von Barcelona-Prozessoren ein, nachdem ein Fehler im Translation Lookaside Buffer (TLB) von Schritt B2 entdeckt wurde, der selten zu einer Rennbedingung und damit zu einer Systemblockierung f\u00fchren konnte.[14] Ein Patch im BIOS oder in der Software hat den Fehler umgangen, indem der Cache f\u00fcr Seitentabellen deaktiviert wurde. Er war jedoch mit einem Leistungsverlust von 5 bis 20% verbunden. Kernel-Patches, die diese Strafe fast vollst\u00e4ndig vermeiden w\u00fcrden, wurden f\u00fcr Linux ver\u00f6ffentlicht. Im April 2008 wurde der neue Steping B3 von AMD auf den Markt gebracht, einschlie\u00dflich einer Fehlerbehebung und weiterer geringf\u00fcgiger Verbesserungen.[15]Interne Codenamen[edit]Ab November 2006 wurden in Berichten die kommenden Codenamen f\u00fcr Desktop-Teile ver\u00f6ffentlicht Agena, Agena FXund die Kerngeschwindigkeiten der Teile reichen von 2,4 GHz bis 2,9 GHz, 512 KB L2-Cache pro Kern, 2 MB L3-Cache unter Verwendung von HyperTransport 3.0 mit einer TDP von 125 W.[16] In j\u00fcngsten Berichten wurden Single-Core-Varianten (Codename) verwendet Spica) und Dual Core mit oder ohne L3-Cache (Codename) Kuma und Rana jeweils) sind unter der gleichen Mikroarchitektur verf\u00fcgbar.[17]W\u00e4hrend des AMD Analyst Day 2006 am 14. Dezember 2006 gab AMD den offiziellen Zeitplan f\u00fcr Server-, Desktop- und mobile Prozessoren bekannt.[18] F\u00fcr das Serversegment wird AMD zwei neue Prozessoren vorstellen, die auf der Architektur mit dem Codenamen “Barcelona” und “Budapest“f\u00fcr 8\/4\/2-Wege- bzw. 1-Wege-Server. F\u00fcr das zweite Halbjahr 2007 werden HyperTransport 3.0 und Socket AM2 + vorgestellt, die f\u00fcr die spezifische Implementierung der oben genannten Consumer-Quad-Core-Desktop-Chip-Serie mit konzipiert sind Die Namenskonvention \u00e4ndert sich von St\u00e4dtenamen (bis Mitte 2007) zu Sternen oder Sternbildern danach, wie z Agena;; Dar\u00fcber hinaus werden die AMD Quad FX-Plattform und ihr unmittelbarer Nachfolger die High-End-Dual-Prozessor-Versionen des Chips mit dem Codenamen as unterst\u00fctzen Agena FX, aktualisiert die Prozessorlinie f\u00fcr die AMD Quad FX-Plattform. Wie bei den Serverchips mit Codenamen BarcelonaDie neue Desktop-Quad-Core-Serie wird \u00fcber einen gemeinsam genutzten L3-Cache, 128-Bit-Gleitkommaeinheiten (FP) und eine verbesserte Mikroarchitektur verf\u00fcgen. Agena wird der native Quad-Core-Prozessor f\u00fcr den Desktop sein. Kumawird im dritten Quartal eine Dual-Core-Variante folgen RanaDie Dual-Core-Version ohne gemeinsam genutzten L3-Cache wird Ende des Jahres erwartet.Nachfolgende Produkteinf\u00fchrungen[edit]Weitere Informationen zum kommenden Chip mit dem Codenamen “Montreal“auf der Server-Roadmap[19] unter Verwendung der MCM-Technik von zwei “Shanghai“Kerne mit insgesamt 12 MB L3-Cache[20] Codename AMD K10.5.[21] Die Desktop-Variante f\u00fcr Shanghai ist Codename Ridgeback.[22] Danach erfolgt die Freigabe von Produkten auf Basis der Bulldozer Kerne, die mit integriertem Grafikkern (AMD Accelerated Processing Unit) oder nativer Octal-Core-Serverarchitektur (8 Core) (Codename) optimiert sind Sandtiger), und die Bobcat Kern, optimiert f\u00fcr den Betrieb mit geringem Stromverbrauch.\u00c4nderung der Modellnomenklaturen[edit]W\u00e4hrend der Computex 2007 Anfang Juni wurden neue Informationen zu den Benennungsschemata der kommenden AMD-Mikroprozessoren ver\u00f6ffentlicht. Zus\u00e4tzliche Buchstaben, die sowohl die Leistung als auch die Leistungsh\u00fclle angeben, stehen vor der 4-stelligen Modellnummer.[23]Die Modellnummern der neuen Prozessorreihe wurden offenbar gegen\u00fcber den PR-Bewertungen der Vorg\u00e4nger, der Prozessoren der Athlon 64-Serie, ge\u00e4ndert (mit Ausnahme der Phenom FX-Serie, die der Nomenklatur der Athlon 64 FX-Serie folgen soll). Wie von DailyTech berichtet,[24] Die Modellnummern liegen im alphanumerischen Format als AA – @ ### vor, wobei AA alphabetische Buchstaben sind, wobei der erste Buchstabe die Prozessorklasse und der zweite die typische TDP-Leistungsh\u00fcllkurve angibt. Das Zeichen @ ist der Serienindikator, der je nach Branding variiert (siehe Tabelle unten), und die letzten drei Zeichen (###) sind die Modellnummer, wobei h\u00f6here Zahlen eine h\u00f6here Leistung anzeigen.\u00dcber die Details der Modellnummern waren nicht viele Informationen bekannt, aber die Prozessoren werden in drei Segmente unterteilt: Premium, Intermediate und Value. Die Modellnummern des Premium-Segments haben die Prozessorklasse “G”, das Zwischensegment “B” und die Wertstufe “L”, wie im Internet auf der AsRock-Website ermittelt.[25] In \u00e4hnlicher Weise werden drei TDP-Niveaus, “mehr als 65 W”, “65 W” und “weniger als 65 W”, durch die Buchstaben “P”, “S” bzw. “E” angegeben.[24]Ab November 2007 hat AMD die Buchstaben aus den Modellnamen und X2 \/ X3 \/ X4-Monikern entfernt, um die Anzahl der Kerne des Prozessors darzustellen. Dabei blieb nur eine vierstellige Modellnummer \u00fcbrig, wobei das erste Zeichen die einzige Identifikation der Prozessorfamilie ist ,[26] w\u00e4hrend Sempron das LE-Pr\u00e4fix wie folgt verwendete:Seriennummer[27]ProzessorserieIndikatorPhenom Quad-Core (Agena)9Phenom Triple-Core (Toliman)8Athlon Dual-Core (Kuma)7Athlon Single-Core (Lima)1Sempron LE Single-Core (Sparta)1Live-Demonstrationen[edit]Am 30. November 2006 demonstrierte AMD live den nativen Quad-Core-Chip “Barcelona” erstmals \u00f6ffentlich.[28] w\u00e4hrend der Ausf\u00fchrung von Windows Server 2003 64-Bit Edition. AMD behauptet eine 70% ige Skalierung der Leistung bei realen Lasten und eine bessere Leistung als der Intel Xeon 5355-Prozessor mit dem Codenamen Clovertown.[29] Weitere Details zu dieser ersten \u00dcberarbeitung der AMD-Mikroprozessorarchitektur der n\u00e4chsten Generation, einschlie\u00dflich ihrer Taktraten, sind im Internet aufgetaucht.[30][31]Am 24. Januar 2007 behauptete AMD-Vizepr\u00e4sident Randy Allen, dass “Barcelona” in Live-Tests in Bezug auf eine Vielzahl von Workloads einen Leistungsvorteil von 40% gegen\u00fcber dem vergleichbaren Intel Xeon-Codenamen nachweisen konnte Clovertown Quad-Core-Prozessoren mit zwei Prozessoren (2P).[32] Die erwartete Leistung des Gleitkommas pro Kern w\u00e4re ungef\u00e4hr 1,8-mal so hoch wie die der K8-Familie bei gleicher Taktrate.[33]Am 10. Mai 2007 veranstaltete AMD eine private Veranstaltung, bei der die bevorstehenden Prozessoren mit dem Codenamen demonstriert wurden Agena FX und Chips\u00e4tze, wobei ein demonstriertes System die AMD Quad FX-Plattform mit einer Radeon HD 2900 XT-Grafikkarte auf dem kommenden RD790-Chipsatz ist. Au\u00dferdem wurde das System in Echtzeit beim Konvertieren eines 720p-Videoclips in ein anderes nicht bekannt gegebenes Format demonstriert, w\u00e4hrend alle 8 Kerne maximal waren 100% durch andere Aufgaben.[34]Schwester Mikroarchitektur[edit]In einem \u00e4hnlichen Zeitrahmen wird auch eine Schwester-Mikroarchitektur f\u00e4llig, die sich auf Chips mit geringerem Stromverbrauch in mobilen Plattformen sowie auf Funktionen mit kleinem Formfaktor konzentrieren wird. Diese Mikroarchitektur enth\u00e4lt spezielle Funktionen wie den f\u00fcr Mobilger\u00e4te optimierten Crossbar-Switch und Speichercontroller sowie andere On-Die-Komponenten. Link Power Management f\u00fcr HyperTransport 3.0; und andere. Zu dieser Zeit nannte AMD es einfach “New Mobile Core”, ohne einen bestimmten Codenamen anzugeben.Am Analystentag im Dezember 2006 k\u00fcndigte Executive Vice President Marty Seyer den neuen mobilen Kern mit dem Codenamen an Greif wurde 2008 mit ererbten Energieoptimierungstechnologien aus der K10-Mikroarchitektur eingef\u00fchrt, die jedoch auf einem K8-Design basieren.Iterationen der Ver\u00f6ffentlichung[edit]Ende 2007 bis zum zweiten Quartal 2008 wird der Kern, der am 45-nm-Prozessknoten hergestellt werden soll, modifiziert.[35] Mit Verbesserungen wie FB-DIMM-Unterst\u00fctzung, Direct Connect Architecture 2.0, verbesserter Zuverl\u00e4ssigkeit, Verf\u00fcgbarkeit und Wartungsfreundlichkeit (RAS) und wahrscheinlich mehr f\u00fcr den Prozessorchip. Die Plattform bietet au\u00dferdem Unterst\u00fctzung f\u00fcr E \/ A-Virtualisierung, PCI Express 2.0, 10-Gigabit-Netzwerkkarte, gr\u00f6\u00dfere Caches und mehr.Berichten zufolge wurde die FB-DIMM-Unterst\u00fctzung jedoch aus zuk\u00fcnftigen Roadmaps der meisten AMD-Produkte gestrichen, da die Popularit\u00e4t gering ist.[36][37] Auch die Zukunft von FB-DIMM als Industriestandard wurde in Frage gestellt.Ein von The Inquirer ver\u00f6ffentlichter Artikel best\u00e4tigt die fr\u00fcheren Berichte der Zeitleiste (wie in diesem Artikel zitiert). Dem Bericht zufolge wird es drei Iterationen des Serverprozessorkerns geben: eine mit Namen Barcelona, f\u00e4llig im zweiten Quartal 2007, mit neuen CPU-Kernkomponenten sowie der Mikroarchitektur, die jedoch auf der alten HyperTransport 2.0-Infrastruktur aufbauen; der zweite ist Budapest f\u00fcr Single-Socket-Systeme mit Socket AM2 + oder Socket AM3 mit HyperTransport 3.0; und der dritte mit dem Codenamen Shanghai ist ein Update des Serverchips, basierend auf 45 nm Prozess,[38] wahrscheinlich auch mit der Implementierung von HyperTransport 3.0 und DDR3, die im ersten bis zweiten Quartal 2008 f\u00e4llig ist.[39]AMD gab am 17. September 2007 bekannt[40] dass ein Drei-Kern-Prozessor (Triple-Core-Prozessor) auch unter der Marke Phenom mit dem Codenamen ver\u00f6ffentlicht wird Toliman. AMD-Mitarbeiter antworteten in einem Interview, dass dieses Produkt von ATI-Technologien profitiert, um dem Quad-Core-Prozessor Sicherungen hinzuzuf\u00fcgen und einen der vier Kerne herunterzufahren[41] ein Triple-Core-Prozessor zu werden, dessen Technik beliebt war, um einen oder mehrere Mainstream-GPU-Kerne aus einem einzelnen High-End-GPU-Kern herzustellen, indem Teile der Schaltung ausgeblasen wurden, um F & E-Kosten zu sparen und vor einiger Zeit mehr M\u00e4rkte anzusprechen. Der Triple-Core-Prozessor sieht immer noch die gleichen Spezifikationen f\u00fcr Quad-Core-Varianten. Die Benennung der Prozessorreihe gem\u00e4\u00df dem AMD-Markenschema wird als Phenom Triple-Core 8000-Serie bezeichnet. Die Prozessorlinie wird sich auf das konzentrieren, was AMD ist In einem Interview mit BetaNews wurde das vierte Marktsegment oder das “High-End-Mainstream” -Segment neben den Segmenten “Value”, “Mainstream” und “Performance” genannt. Die Zielkunden der Prozessoren sind “diejenigen, die bereit sind, mehr f\u00fcr mehr Leistung zu zahlen, aber nicht daf\u00fcr erforderlich sind.” zu viel Rechenleistung, wie von Spielern und Systemherstellern gefordert “,[42][43] Es gibt Single-Core-Varianten (Sempron) f\u00fcr den Low-End-Markt und Dual-Core-Varianten (Athlon) f\u00fcr den Mittelklasse-Markt sowie Quad-Core-Varianten (Phenom Quad-Core 9000 Series und Phenom FX) High-End-Markt im gleichen Zeitraum.Weitere im Jahr 2008 wird AMD vorstellen Deneb FX f\u00fcr den Ersatz f\u00fcr die AMD Quad FX-Plattform sowie Deneb f\u00fcr den Mainstream. Vorschl\u00e4ge und Regor wird auch ersetzen Kuma und Rana in den unteren Marktsegmenten. Der Sockel AM2 +, der Ende 2006 benannt wurde, war m\u00f6glicherweise der urspr\u00fcngliche AM3-Sockel, aber als sich die Namenskonventionen \u00e4nderten, wurde der Socket AM3 die n\u00e4chste Generation von DDR3-f\u00e4higen Consumer-Desktop-Sockets sein.[44]Eigenschaften[edit]Herstellungstechnologie[edit]AMD hat die Mikroprozessoren eingef\u00fchrt, die bei einer Strukturbreite von 65 nm unter Verwendung der SOI-Technologie (Silicon-on-Insulator) hergestellt wurden, da die Freigabe von K10 mit der Volumenrampe dieses Herstellungsprozesses zusammenf\u00e4llt.[45] Die Server werden f\u00fcr Socket F (1207) oder h\u00f6her mit 1207-poliger Socket-Infrastruktur hergestellt, dem einzigen Server-Socket auf der kurzfristigen Roadmap von AMD. Die Desktop-Teile werden auf Socket AM2 oder Socket AM2 + geliefert.AMD gab w\u00e4hrend des Technology Analyst Day bekannt[46] dass die Verwendung von CTI (Continuous Transistor Improvement) und STT (Shared Transistor Technology) schlie\u00dflich zur Implementierung von Silicon-Germanium-On-Insulator (SGoI) auf 65-nm-Prozess-CPUs f\u00fchren w\u00fcrde.[47]Sp\u00e4tere Prozessoren wurden unter Verwendung der 45-nm-SOI-Technologie hergestellt.“APU” K10-Prozessoren wurden unter Verwendung der 32-nm-SOI-Technologie hergestellt.Ab 45 nm wurde Immersionslithographie verwendet.Unterst\u00fctzte DRAM-Standards[edit]Es ist bekannt, dass die K8-Familie besonders empfindlich auf Speicherlatenz reagiert, da ihr Design durch Minimierung durch die Verwendung eines in die CPU integrierten On-Die-Speichercontrollers an Leistung gewinnt. Eine erh\u00f6hte Latenz in den externen Modulen negiert die N\u00fctzlichkeit der Funktion. DDR2-RAM f\u00fchrt eine zus\u00e4tzliche Latenz gegen\u00fcber herk\u00f6mmlichem DDR-RAM ein, da der DRAM intern von einem Takt mit einem Viertel der externen Datenfrequenz gesteuert wird, im Gegensatz zu der H\u00e4lfte der von DDR. Da jedoch die Befehlstaktrate in DDR2 im Vergleich zu DDR verdoppelt wird und andere latenzreduzierende Merkmale (z. B. additive Latenz) eingef\u00fchrt wurden, sind \u00fcbliche Vergleiche, die auf der CAS-Latenz allein basieren, nicht ausreichend. Beispielsweise ist bekannt, dass Socket AM2-Prozessoren unter Verwendung von DDR2-SDRAM eine \u00e4hnliche Leistung aufweisen wie Socket 939-Prozessoren, die DDR-400-SDRAM verwenden. K10-Prozessoren unterst\u00fctzen DDR2-SDRAM mit einer Nennleistung von bis zu DDR2-1066 (1066 MHz).[48]W\u00e4hrend einige Desktop-K10-Prozessoren AM2 + nur DDR2 unterst\u00fctzen, unterst\u00fctzt ein AM3-K10-Prozessor sowohl DDR2 als auch DDR3. Einige AM3-Motherboards haben sowohl DDR2- als auch DDR3-Steckpl\u00e4tze (dies bedeutet nicht, dass Sie beide Typen gleichzeitig anpassen k\u00f6nnen), aber zum gr\u00f6\u00dften Teil haben sie nur DDR3.Lynx-Desktop-Prozessoren unterst\u00fctzen nur DDR3, da sie den FM1-Socket verwenden.H\u00f6herer Rechendurchsatz[edit]Mehrere Quellen (wie AnandTech, The Inquirer und Geek.com) berichteten auch, dass die Mikroprozessoren, die die Mikroarchitektur implementieren, eine Verdoppelung der Breite der SSE-Ausf\u00fchrungseinheiten in den Kernen aufweisen werden. Mit Hilfe wesentlicher Verbesserungen des Speichersubsystems (wie z. B. Neuordnung der Last und verbesserte Vorabrufmechanismen) sowie des doppelten Abrufs und Ladens von Befehlen wird erwartet, dass die Eignung des Prozessors f\u00fcr wissenschaftliche und leistungsstarke Computeraufgaben erh\u00f6ht wird und potenziell die Wettbewerbsf\u00e4higkeit mit Intels Xeon, Core 2, Itanium 2 und anderen modernen Mikroprozessoren verbessern.Viele der Verbesserungen des Rechendurchsatzes jedes Kerns sind unten aufgef\u00fchrt.Merkmale der Mikroarchitektur[edit] K10 Single Core mit Overlay-Beschreibung, ausgenommen das L2-Cache-Array.Zu den Merkmalen der Mikroarchitektur geh\u00f6ren:[49]FormfaktorenSockel AM2 + mit DDR2 f\u00fcr die 65 nm Phenom und Athlon 7000 SerieSockel AM3 mit DDR2 oder DDR3 f\u00fcr Semprons und die 45-nm-Serien Phenom II und Athlon II. Sie k\u00f6nnen auch auf AM3 + -Mutterboards mit DDR3 verwendet werden. Beachten Sie, dass alle K10 Phenom-Prozessoren abw\u00e4rtskompatibel mit Socket AM2 + und Socket AM2 sind, einige 45-nm-Phenom II-Prozessoren jedoch nur f\u00fcr Socket AM2 + verf\u00fcgbar sind. Luchs Prozessoren verwenden weder AM2 + noch AM3.Buchse FM1 mit DDR3 f\u00fcr Luchs Prozessoren.Buchse F mit DDR2, DDR3 mit Shanghai und sp\u00e4ter Opteron-ProzessorenErg\u00e4nzungen und Erweiterungen des BefehlssatzesNeue Bitmanipulationsanweisungen ABM: Leading Zero Count (LZCNT) und Population Count (POPCNT)Neue SSE-Anweisungen mit dem Namen SSE4a: kombinierte Maskenverschiebungsanweisungen (EXTRQ \/ INSERTQ) und skalare Streaming-Speicheranweisungen (MOVNTSD \/ MOVNTSS). Diese Anweisungen sind in Intels SSE4 nicht enthaltenUnterst\u00fctzung f\u00fcr nicht ausgerichtete SSE-Ladevorg\u00e4nge (f\u00fcr die fr\u00fcher eine 16-Byte-Ausrichtung erforderlich war)[50]Verbesserungen der Ausf\u00fchrungspipeline128 Bit breite SSE-EinheitenBreitere L1-Datencache-Schnittstelle, die zwei 128-Bit-Ladevorg\u00e4nge pro Zyklus erm\u00f6glicht (im Gegensatz zu zwei 64-Bit-Ladevorg\u00e4ngen pro Zyklus mit K8)Niedrigere Latenzzeit f\u00fcr ganzzahlige TeilungenIndirekter Verzweigungspr\u00e4diktor mit 512 Eintr\u00e4gen und ein gr\u00f6\u00dferer R\u00fcckgabestapel (Gr\u00f6\u00dfe gegen\u00fcber K8 verdoppelt) und VerzweigungszielpufferSeitenband-Stapeloptimierer, der zum Inkrementieren \/ Dekrementieren des Registerstapelzeigers vorgesehen istFastpathed CALL- und RET-Imm-Befehle (fr\u00fcher mikrocodiert) sowie MOVs von SIMD-Registern zu AllzweckregisternIntegration neuer Technologien in den CPU-Chip:Vier Prozessorkerne (Quad-Core)Geteilte Leistungsebenen f\u00fcr CPU-Kern und Speichercontroller \/ Northbridge f\u00fcr eine effektivere Energieverwaltung, zuerst synchronisiert Dynamisches unabh\u00e4ngiges Kernengagement oder W\u00dcRFEL von AMD und jetzt bekannt als Verbessertes PowerNow! (auch als Independent Dynamic Core Technology bezeichnet), sodass die Kerne und Northbridge (integrierter Speichercontroller) den Stromverbrauch unabh\u00e4ngig voneinander erh\u00f6hen oder verringern k\u00f6nnen.[51]Abschalten von Teilen der Schaltkreise im Kern, wenn diese nicht geladen sind, mit der Bezeichnung “CoolCore” -Technologie.Verbesserungen im Speichersubsystem:Verbesserungen der Zugriffslatenz:Unterst\u00fctzung f\u00fcr die Nachbestellung von Ladungen vor anderen Ladungen und LagernAggressiveres Befehlsvorabrufen, 32-Byte-Befehlsvorabruf im Gegensatz zu 16 Byte in K8DRAM-Prefetcher zum Puffern von Lesevorg\u00e4ngenGepuffertes Burst-Writeback in den RAM, um Konflikte zu reduzieren\u00c4nderungen in der Speicherhierarchie:Prefetch direkt in den L1-Cache im Gegensatz zum L2-Cache mit K8-FamilieAssoziativer 32-Wege-L3-Opfer-Cache mit einer Gr\u00f6\u00dfe von mindestens 2 MB, der von den Verarbeitungskernen auf einem einzelnen Chip (jeweils mit 512 KB unabh\u00e4ngigem exklusivem L2-Cache) gemeinsam genutzt wird, mit einer teilungsbewussten Ersetzungsrichtlinie.Erweiterbares L3-Cache-Design mit 6 MB f\u00fcr 45-nm-Prozessknoten mit dem Codenamen Chips Shanghai.\u00c4nderungen in der Adressraumverwaltung:Zwei unabh\u00e4ngige 64-Bit-Speichercontroller mit jeweils eigenem physischen Adressraum; Dies bietet die M\u00f6glichkeit, die verf\u00fcgbare Bandbreite bei zuf\u00e4lligen Speicherzugriffen in Umgebungen mit starkem Multithreading besser zu nutzen. Dieser Ansatz steht im Gegensatz zum vorherigen “Interleaved” -Design, bei dem die beiden 64-Bit-Datenkan\u00e4le an einen einzigen gemeinsamen Adressraum gebunden waren.Gr\u00f6\u00dfere getaggte Lookaside-Puffer; Unterst\u00fctzung f\u00fcr 1-GB-Seiteneintr\u00e4ge und einen neuen 2-MB-Seiten-TLB mit 128 Eintr\u00e4gen48-Bit-Speicheradressierung f\u00fcr 256-TB-Speichersubsysteme[52]Speicherspiegelung (alternativ zugeordnete DIMM-Adressierung),[53] Unterst\u00fctzung bei Datenvergiftung und Enhanced RASAMD-V Nested Paging f\u00fcr eine verbesserte MMU-Virtualisierung soll die Weltumschaltzeit um 25% verk\u00fcrzt haben.Verbesserungen bei der Systemverbindung:Unterst\u00fctzung f\u00fcr HyperTransport-WiederholungsversucheUnterst\u00fctzung f\u00fcr HyperTransport 3.0 mit Aufhebung der HyperTransport-Verbindung, wodurch 8 Punkt-zu-Punkt-Verbindungen pro Socket erstellt werden.Verbesserungen auf Plattformebene mit zus\u00e4tzlichen Funktionen:F\u00fcnf p-Zust\u00e4nde, die eine automatische Taktratenmodulation erm\u00f6glichenErh\u00f6htes Clock GatingOffizielle Unterst\u00fctzung f\u00fcr Coprozessoren \u00fcber HTX-Steckpl\u00e4tze und freie CPU-Sockel \u00fcber die Initiative HyperTransport: Torrenza.Feature-Tabellen[edit]CPUs[edit]CPU-FunktionstabelleAPUs[edit]APU-FunktionstabelleDesktop[edit]Ph\u00e4nomenmodelle[edit]Agena (65 nm SOI, Quad-Core)[edit]Vier AMD K10-KerneL1-Cache: 64-KB-Anweisung und 64-KB-Daten[54] (Daten + Anweisungen) pro KernL2-Cache: 512 KB pro Kern, volle GeschwindigkeitL3-Cache: 2 MB, die von allen Kernen gemeinsam genutzt werdenSpeichercontroller: Zweikanal-DDR2-1066 MHz mit Unganging-OptionISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-VSockel AM2 +, HyperTransport mit 1600 bis 2000 MHzStromverbrauch (TDP): 65, 95, 125 und 140 WattErste Ver\u00f6ffentlichung19. November 2007 (B2 Stepping)27. M\u00e4rz 2008 (B3 Stepping)Taktrate: 1800 bis 2600 MHzModelle: Phenom X4 9100e – 9950Toliman (65 nm SOI, Tri-Core)[edit]Drei AMD K10-KerneL1-Cache: 64-KB-Anweisung und 64-KB-Datencache pro KernL2-Cache: 512 KB pro Kern, volle GeschwindigkeitL3-Cache: 2 MB, die von allen Kernen gemeinsam genutzt werdenSpeichercontroller: Zweikanal-DDR2-1066 MHz mit Unganging-OptionISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-VSockel AM2 +, HyperTransport mit 1600 bis 1800 MHzStromverbrauch (TDP): 65 und 95 WattErste Ver\u00f6ffentlichung27. M\u00e4rz 2008 (B2 Stepping)23. April 2008 (B3 Stepping)Taktrate: 2100 bis 2500 MHzModelle: Phenom X3 8250e – 8850Phenom II Modelle[edit]Thuban (45 nm SOI, Hexa-Kern)[edit]Sechs AMD K10-KerneL1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro KernL2-Cache: 512 KB pro Kern, volle GeschwindigkeitL3-Cache: 6 MB werden von allen Kernen gemeinsam genutzt.Speichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-OptionISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-VSockel AM2 +, Sockel AM3, HyperTransport mit 1800 bis 2000 MHzStromverbrauch (TDP): 95 oder 125 WattErste Ver\u00f6ffentlichung27. April 2010 (E0 Stepping)Taktrate: 2,6 – 3,3 GHz; bis zu 3,7 GHz mit Turbo CoreModelle: Phenom II X6 1035T, 1045T, 1055T, 1065T, 1075T, 1090T und 1100TZosma (45 nm SOI, Quad-Core)[edit]Vier AMD K10-Kerne aus Thuban mit zwei deaktivierten Kernen[55]ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V, Turbo Core (AMD-\u00c4quivalent zu Intel Turbo Boost)Speicherunterst\u00fctzung: DDR2-SDRAM bis PC2-8500, DDR3-SDRAM bis PC3-10600 (nur Sockel AM3)Modelle: Phenom II X4 650T, 840T, 960T, 970 (Thosan-basierter Zosma-Kern, nur OEM, 970 hat den Multiplikator freigeschaltet, jedoch ohne Turbo-Kern)Deneb (45 nm SOI, Quad-Core)[edit]Vier AMD K10-KerneL1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro KernL2-Cache: 512 KB pro Kern, volle GeschwindigkeitL3-Cache: 6 MB werden von allen Kernen gemeinsam genutzt. Bei der 800er-Serie sind 2 MB des L3-Cache aufgrund von Fehlern deaktiviert.Speichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-OptionISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-VSockel AM2 +, Sockel AM3, HyperTransport mit 1800 bis 2000 MHzStromverbrauch (TDP): 65, 95, 125 und 140 WattErste Ver\u00f6ffentlichung8. Januar 2009 (C2 Stepping)Taktrate: 2500 bis 3700 MHzModelle: Phenom II X4 805 – 980 (au\u00dfer 840 und 850)42 TWKR Limited Edition (45 nm SOI, Quad-Core)[edit]AMD hat einen Deneb-basierten Prozessor in limitierter Auflage f\u00fcr extreme Overclocker und Partner ver\u00f6ffentlicht. Es wurden weniger als 100 hergestellt.Die “42” repr\u00e4sentiert offiziell vier Kerne, die mit 2 GHz laufen, ist aber auch ein Hinweis auf die Antwort auf das Leben, das Universum und alles von Per Anhalter durch die Galaxis.[56]Propus (45 nm SOI, Quad-Core)[edit]Vier AMD K10-Kerne aus Deneb mit deaktiviertem L3-Cache[55]ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-VSpeicherunterst\u00fctzung: DDR2-SDRAM bis PC2-8500 (DDR2-1066 MHz), DDR3-SDRAM bis PC3-10600 (DDR3-1333 MHz) (nur Sockel AM3)Modelle: Phenom II X4 840 und 850Heka (45 nm SOI, Tri-Core)[edit]Drei AMD K10-Kerne mit Chip-Harvesting-Technik, wobei ein Kern deaktiviert istL1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro KernL2-Cache: 512 KB pro Kern, volle GeschwindigkeitL3-Cache: 6 MB werden von allen Kernen gemeinsam genutztSpeichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-OptionISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-VSockel AM3, HyperTransport mit 2000 MHzStromverbrauch (TDP): 65 und 95 WattErste Ver\u00f6ffentlichung9. Februar 2009 (C2 Stepping)Taktrate: 2500 bis 3000 MHzModelle: Phenom II X3 705e – 740Callisto (45 nm SOI, Dual-Core)[edit]Zwei AMD K10-Kerne mit Chip-Harvesting-Technik, wobei zwei Kerne deaktiviert sindL1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro KernL2-Cache: 512 KB pro Kern, volle GeschwindigkeitL3-Cache: 6 MB werden von allen Kernen gemeinsam genutztSpeichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-OptionISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-VSockel AM3, HyperTransport mit 2000 MHzStromverbrauch (TDP): 80 WattErste Ver\u00f6ffentlichung1. Juni 2009 (C2 Stepping)Taktrate: 3000 bis 3500 MHzModelle: Phenom II X2 545 – 570Regor (45 nm SOI, Dual-Core)[edit]Zwei AMD K10-KerneEinige sind Chip-Ernten von Propus oder Deneb mit zwei deaktivierten Kernen[55]Die meisten Regor-basierten Prozessoren bieten doppelt so viel L2-Cache pro Kern (1 MB) wie andere Athlon II- und Phenom II-Prozessoren.ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-VSpeicherunterst\u00fctzung: DDR2-SDRAM bis PC2-8500, DDR3-SDRAM bis PC3-8500 (DDR3-1066 MHz) (nur Sockel AM3)Modelle: Phenom II X2 511 und 521Athlon X2 Modelle[edit]Kuma (65 nm SOI, Dual-Core)[edit]Zwei AMD K10-Kerne aus Agena mit zwei deaktivierten Kernen[55]ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V[57]Modelle: Athlon X2 6500 – 7850Regor \/ Deneb (45 nm SOI, Dual-Core)[edit]Zwei AMD K10-Kerne. Bei Prozessoren der Serie 5000 handelt es sich um Chip-Ernten von Propus oder Deneb. Alle Chips der Serie 5200 sind Ernten, bei denen jeweils zwei Kerne deaktiviert sind[55]ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V[57]Modelle: Athlon X2 5000+ und 5200+Athlon II Modelle[edit]Zosma (45 nm SOI, Quad-Core)[edit]Vier AMD K10-Kerne aus Thuban mit zwei deaktivierten Kernen[55]ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V, Turbo Core (AMD-\u00c4quivalent zu Intel Turbo Boost)Speicherunterst\u00fctzung: DDR2-SDRAM bis PC2-8500, DDR3-SDRAM bis PC3-10600 (nur Sockel AM3)Modelle: Athlon II X4 640[T]Propus (45 nm SOI, Quad-Core)[edit]Vier AMD K10-Kerne[58][59]L1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro KernL2-Cache: 512 KB pro Kern, volle GeschwindigkeitSpeichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-OptionISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-VSockel AM3, HyperTransport mit 2000 MHzLeistungsaufnahme (TDP): 45 Watt oder 95 WattErste Ver\u00f6ffentlichungSeptember 2009 (C2 Stepping)Taktrate: 2200 – 3100 MHzModelle: Athlon II X4 600e – 650Rana (45 nm SOI, Tri-Core)[edit]Drei AMD K10-Kerne, die von Propus oder Deneb mit einem deaktivierten Kern geerntet wurden[55]L1-Cache: 64 kB + 64 kB (Daten + Anweisungen) pro KernL2-Cache: 512 kB pro Kern, volle GeschwindigkeitSpeichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-OptionISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-VSockel AM3, HyperTransport mit 2 GHzMatrizengr\u00f6\u00dfe: 169 mm\u00b2[60]Leistungsaufnahme (TDP): 45 Watt oder 95 WattErste Ver\u00f6ffentlichungOktober 2009 (Schritt C2)Taktrate: 2,2\u20133,4 GHzModelle: Athlon II X3 400e – 460Regor (45 nm SOI, Dual-Core)[edit]Zwei AMD K10-KerneL1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro KernL2-Cache: 1024 KB pro Kern, volle GeschwindigkeitSpeichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-OptionISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-VSockel AM3, HyperTransport mit 2000 MHzLeistungsaufnahme (TDP): 65 WattErste Ver\u00f6ffentlichungTaktrate: 1600 – 3600 MHzModelle: Athlon II X2 250u – 280Sargas (45 nm SOI, Single-Core)[edit]Einzelne AMD K10-Kernernte von Regor mit deaktiviertem Kern[55]ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-VSpeicherunterst\u00fctzung: DDR2-SDRAM bis PC2-6400, DDR3-SDRAM bis PC3-8500 (nur Sockel AM3)Modelle: Athlon II 160u und 170uLuchs (32 nm SOI, Dual oder Quad-Core)[edit]Sempron-Modelle[edit]Sargas (45 nm SOI, Single-Core)[edit]Einzelner AMD K10-Kernchip aus Regor mit deaktiviertem Kern[55]ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-VModelle: Sempron 130-150Sempron X2 Modelle[edit]Regor (45 nm SOI, Dual-Core)[edit]Zwei AMD K10-KerneISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-VModelle: Sempron X2 180 und 190Luchs (32 nm SOI, Dual-Core)[edit]Llano “APUs”[edit]Luchs (32 nm SOI, Dual oder Quad-Core)[edit]Die Desktop-APUs der ersten Generation, die auf der K10-Mikroarchitektur basieren, wurden 2011 ver\u00f6ffentlicht (einige Modelle bieten keine Grafikfunktionen, wie z Luchs Athlon II und Sempron X2).Herstellung 32 nm im GlobalFoundries SOI-ProzessBuchse FM1Matrizengr\u00f6\u00dfe: 228 mm2mit 1,178 Milliarden Transistoren[61][62]AMD K10-Kerne ohne L3-CacheGPU: TeraScale 2Alle Modelle der A- und E-Serie sind ausgestattet Redwood-klasse integrierte Grafiken auf dem W\u00fcrfel (BeaverCreek f\u00fcr die Dual-Core-Varianten und WinterPark f\u00fcr die Quad-Core-Varianten). Sempron- und Athlon-Modelle schlie\u00dfen integrierte Grafiken aus.[63]Unterst\u00fctzung f\u00fcr bis zu vier DIMMs mit bis zu DDR3-1866-Speicher5 GT \/ s UMIIntegrierter PCIe 2.0-ControllerAusgew\u00e4hlte Modelle unterst\u00fctzen die Turbo Core-Technologie f\u00fcr einen schnelleren CPU-Betrieb, wenn die thermischen Spezifikationen dies zulassenAusgew\u00e4hlte Modelle unterst\u00fctzen die Hybrid Graphics-Technologie, um eine diskrete Radeon HD 6450-, 6570- oder 6670-Grafikkarte zu unterst\u00fctzen. Dies \u00e4hnelt der aktuellen Hybrid CrossFireX-Technologie der AMD 700- und 800-ChipsatzserienISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-VModelle: Lynx-Desktop-APUs und -CPUsTurion II (Ultra) Modelle[edit]“”Kaspisch“(45 nm SOI, Dual-Core)[edit]Tigris Plattform[64]Zwei AMD K10-KerneISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR2 SDRAM (bis zu 800 MHz)Modelle: Turion II Ultra M600 bis M660Turion II Modelle[edit]“”Kaspisch“(45 nm SOI, Dual-Core)[edit]Tigris PlattformZwei AMD K10-KerneISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR2 SDRAM (bis zu 800 MHz)Modelle: Turion II M500 bis M560“”Champlain“(45 nm SOI, Dual-Core)[edit]Donau Plattform[65][66]Zwei AMD K10-KerneISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)Modelle: Turion II-ModelleAthlon II Modelle[edit]“”Kaspisch“(45 nm SOI, Dual-Core)[edit]Tigris PlattformZwei AMD K10-KerneISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR2 SDRAM (bis zu 800 MHz)Modelle: Athlon II M300 bis M360“”Champlain“(45 nm SOI, Dual-Core)[edit]Donau PlattformZwei AMD K10-KerneISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)Modelle: Athlon II ModelleSempron-Modelle[edit]“”Kaspisch“(45 nm SOI, Single-Core)[edit]Tigris PlattformEinzelner AMD K10 KernISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR2 SDRAM (bis zu 800 MHz)Modelle: Sempron M100 bis M140Turion II Neo Modelle[edit]“”Genf“(45 nm SOI, Dual-Core)[edit]Nil Plattform[65][67]Zwei AMD K10-KerneISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1066 MHz)Modelle: Turion II Neo ModelleAthlon II Neo Modelle[edit]“”Genf“(45 nm SOI, Dual-Core)[edit]Nil PlattformZwei AMD K10-KerneISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1066 MHz)Modelle: Athlon II Neo Modelle“”Genf“(45 nm SOI, Single-Core)[edit]Nil PlattformEinzelner AMD K10 KernISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1066 MHz)Modelle: Athlon II K125 und K145V Modelle[edit]“”Genf“(45 nm SOI, Single-Core)[edit]Nil PlattformEinzelner AMD K10 KernISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1066 MHz)Modelle: V 105“”Champlain“(45 nm SOI, Single-Core)[edit]Donau PlattformEinzelner AMD K10 KernISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)Modelle: V 120 bis 160Phenom II-Modelle[edit]“”Champlain“(45 nm SOI, Quad-Core)[edit]Donau PlattformVier AMD K10-KerneIm Gegensatz zu Desktop-Modellen verf\u00fcgen mobile Phenom II-Modelle nicht \u00fcber einen L3-CacheISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)Modelle: Phenom II-Modelle“”Champlain(45 nm SOI, Tri-Core)[edit]Donau PlattformDrei AMD K10-KerneIm Gegensatz zu Desktop-Modellen verf\u00fcgen mobile Phenom II-Modelle nicht \u00fcber einen L3-CacheISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)Modelle: Phenom II-Modelle“”Champlain“(45 nm SOI, Dual-Core)[edit]Donau PlattformZwei AMD K10-KerneIm Gegensatz zu Desktop-Modellen verf\u00fcgen mobile Phenom II-Modelle nicht \u00fcber einen L3-CacheISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Speicherunterst\u00fctzung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)Modelle: Phenom II-ModelleLlano APUs[edit]“”Sabine“(32 nm SOI, Dual oder Quad-Core)[edit]Herstellung 32 nm im SOI-Prozess von GlobalFoundriesBuchse FS1Zwei oder vier verbesserte K10-Kerne mit dem Codenamen Heiser[citation needed] (K10.5[citation needed]) ohne L3-Cache und mit Redwood-klasse integrierte Grafiken auf dem W\u00fcrfel (WinterPark f\u00fcr die Dual-Core-Varianten und BeaverCreek f\u00fcr die Quad-Core-Varianten)Integrierter PCIe 2.0-ControllerGPU: TeraScale 2Ausgew\u00e4hlte Modelle unterst\u00fctzen die Turbo Core-Technologie f\u00fcr einen schnelleren CPU-Betrieb, wenn die thermischen Spezifikationen dies zulassen2,5 GT \/ s UMIISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!Unterst\u00fctzung f\u00fcr 1,35 V DDR3L-1333-Speicher zus\u00e4tzlich zu dem angegebenen regul\u00e4ren 1,5 V DDR3-SpeicherModelle: Sabine mobile APUsEs gibt zwei Generationen von K10-basierten Prozessoren f\u00fcr Server: Opteron 65 nm und 45 nm.Nachfolger[edit]AMD stellte die Weiterentwicklung von K10-basierten CPUs nach Thuban ein und konzentrierte sich auf Fusion-Produkte f\u00fcr Mainstream-Desktops und -Laptops sowie Bulldozer-basierte Produkte f\u00fcr den Performance-Markt. Innerhalb der Fusion-Produktfamilie verwendeten APUs wie die Chips der ersten Generation der A4-, A6- und A8-Serie (Llano-APUs) weiterhin K10-abgeleitete CPU-Kerne in Verbindung mit einem Radeon-Grafikkern. K10 und seine Derivate wurden durch die Einf\u00fchrung von APUs auf Trinity-Basis im Jahr 2012 aus der Produktion genommen, die die K10-Kerne in der APU durch von Bulldozer abgeleitete Kerne ersetzten.Derivate der Familie 11h und 12h[edit] Turion X2 Ultra Familie 11h[edit]Die Family 11h-Mikroarchitektur war eine Mischung aus K8- und K10-Designs mit geringerem Stromverbrauch f\u00fcr Laptops, die als Turion X2 Ultra vermarktet und sp\u00e4ter durch vollst\u00e4ndig K10-basierte Designs ersetzt wurde.[1] Fusionsfamilie 12h[edit]Die Family 12h-Mikroarchitektur ist eine Ableitung des K10-Designs:[68][69]Sowohl die CPU als auch die GPU wurden wiederverwendet, um Komplexit\u00e4t und Risiken zu vermeidenDie ausgepr\u00e4gte Software- und physische Integration unterscheidet die Mikroarchitekturen von Fusion (APU)Energiesparende Verbesserungen einschlie\u00dflich Clock GatingVerbesserungen am Hardware-Pre-Fetcher\u00dcberarbeiteter Speichercontroller1 MB L2-Cache pro KernKein L3-CacheZwei neue Busse f\u00fcr die On-Die-GPU f\u00fcr den Zugriff auf den Speicher (sogenannte Onion- und Garlic-Schnittstellen)AMD Fusion Compute Link (Onion) – Schnittstellen zum CPU-Cache und zum koh\u00e4renten Systemspeicher (siehe Cache-Koh\u00e4renz)Radeon Memory Bus (Knoblauch) – dedizierte nicht koh\u00e4rente Schnittstelle, die direkt mit dem Speicher verbunden istMediendiskussionen[edit]Hinweis: Diese Mediendiskussionen sind nach aufsteigendem Ver\u00f6ffentlichungsdatum aufgef\u00fchrt.Siehe auch[edit]Verweise[edit]^ ein b “Liste der AMD-CPU-Mikroarchitekturen – LeonStudio”. LeonStudio – CodeFun. 3. August 2014. Abgerufen 12. September 2015.^ AMDs K10 ist verz\u00f6gert oder tot, Der Ermittler^ Hesseldahl, Arik (06.07.2000). “Warum coole Chip-Codenamen sterben”. forbes.com. Forbes.com Inc.. Abgerufen 2007-07-14.^ Der Inquirer-Bericht Archiviert 6. September 2007 an der Wayback-Maschine^ ein b Valich, Theo. “AMD erkl\u00e4rt K8L-Fehlbezeichnung”. Der Ermittler. Archiviert von das Original am 10. Februar 2007. Abgerufen 2007-03-16.^ Offizielle Ank\u00fcndigung der “AMD Next Generation Processor Technology”^ Videointerview von Giuseppe Amato (AMDs technischer Direktor, Vertrieb und Marketing EMEA) Archiviert 2009-07-12 bei Archive.today im Februar 2007^ Pr\u00e4sentationsfolie des Mikroprozessorforums 2003^ Hall, Chris. “Mikroprozessoren neu definieren: Fragen und Antworten mit AMDs Henri Richard”. DigiTimes.com. Archiviert vom Original am 13. M\u00e4rz 2006. Abgerufen 2007-03-18.^ AMDs Vision f\u00fcr die n\u00e4chsten Jahre – ein Interview mit Henri Richard^ “AMD Opteron der n\u00e4chsten Generation ebnet den Weg f\u00fcr Quad-Core”. crn.com. 2006-08-15. Archiviert von das Original am 06.02.2012. Abgerufen 2007-04-19.^ “AMD liefert im August die ersten nativen x86-Quad-Core-Prozessoren der Industrie aus”. amd.com. 2007-06-29.^ “AMD wird im September zwei in Barcelona ans\u00e4ssige Prozessoren auf den Markt bringen”. tgdaily.com. 2007-08-13. Archiviert von das Original am 16.10.2007.^ dailytech.com: AMDs TLB-Prozessor-Fehler verstehen Archiviert 18.02.2009 an der Wayback-Maschine, 5. Dezember 2007^ xbitlabs.com: … TLB-Fehler – in der Vergangenheit Archiviert 09.02.2009 an der Wayback-Maschine, 26. M\u00e4rz 2008^ “AMD Quad-Core Altair im dritten Quartal 2007”. HKEPC. 03.10.2006. Archiviert von das Original am 31.10.2006.^ “AMD tritt in 2H 2007 in die K10-\u00c4ra ein”. HKEPC. 2006-10-04. Archiviert von das Original am 11.10.2006.^ “Folien zum Analystentag 2006”. Archiviert von das Original am 21.02.2014. Abgerufen 2008-12-02.^ Der Inquirer-Bericht Archiviert 12. September 2007 an der Wayback-Maschine^ FudZilla-Bericht^ FudZilla-Bericht^ Fudzilla-Bericht, abgerufen am 1. August 2007 Archiviert 16. Oktober 2007 an der Wayback-Maschine^ “So entschl\u00fcsseln Sie den neuen CPU-Namenscode von AMD”. Gadget Lab. 2007-06-04.^ ein b “DailyTech-Bericht”. Archiviert von das Original am 14.10.2007. Abgerufen 2007-06-05.^ XTReview-Image: AsRock BIOS 1.40 unterst\u00fctzt die Prozessoren Athlon X2 BE-xxxx und Sempron LE-xxxx^ “AMD \u00fcberarbeitete Desktop-Modellnummernstruktur”. VR-Zone. 2007-10-09. Archiviert von das Original am 11. Oktober 2007.^ VR-Zonenbericht, abgerufen am 9. Oktober 2007 Archiviert 11. Oktober 2007 an der Wayback-Maschine^ “AMD demonstriert seine Quad-Core-Serverchips”. CNET.com. 30.11.2006.^ “AMD demonstriert Barcelona; das erste echte, native Quad-Core-Opteron”. legitreviews.com. 30.11.2006.^ “Kurzer Blick auf AMD Quad Core Barcelona”. arstechnica.com. 6. Dezember 2006.^ Der Inquirer-Artikel Archiviert 16. Mai 2007 an der Wayback-Maschine^ “AMD erwartet, dass Quad Core Barcelona Clovertown um 40% \u00fcbertrifft”. dailytech.com. 2007-01-25. Archiviert von das Original am 2007-02-27. Abgerufen 2007-04-19.^ “Gehen Sie nach ‘Barcelona’ \u00fcber ‘Cloverton‘“”. CNET.com. 2007-01-23.^ “TGDaily-Bericht”. Archiviert von das Original am 26.09.2007. Abgerufen 2007-05-11.^ “AMD skizziert Quad Core Computing”. www.pcpro.co.uk. 2006-09-19. Archiviert von das Original am 2007-04-27. Abgerufen 2007-04-19.^ “Intel zieht sich vom FB-DIMM zur\u00fcck”. enquirer.net. 07.09.2006. Archiviert von das Original am 2012-09-12.^ “Kein Schocker hier”. legitreviews.com. 2006-09-15.^ “DailyTech-Bericht”. Archiviert von das Original am 2007-02-12. Abgerufen 2007-04-19.^ “AMD Quad Cores: Die ganze Geschichte entfaltet”. enquirer.net. 2006-09-16. Archiviert von das Original am 19. Mai 2007.^ AMD Ank\u00fcndigung, abgerufen am 17. September 2007^ ComputerWorld-Bericht Archiviert 12. Oktober 2007, an der Wayback-Maschine, abgerufen am 9. Oktober 2007^ BetaNews-Bericht, abgerufen am 17. September 2007^ BetaNews Interview, abgerufen am 17. September 2007^ “AMD: 45 nm, DDR3 und AM3 im Jahr 2008”. dailytech.com. 2007-05-02. Archiviert von das Original am 05.05.2007. Abgerufen 2007-05-02.^ “Ein AMD-Update: Fab 36 beginnt mit dem Versand, plant den 65-nm-Prozess und die AM2-Leistung”. AnandTech. 2006-04-04.^ Seite 2006 AMD Analyst Day 2006 Archiviert 17. Mai 2009 an der Wayback-Maschine^ Ostrander, Daryl. “Folien zum Technology Analyst Day 2006” (PDF). Moderne Mikroger\u00e4te. Archiviert von das Original (PDF) am 13. Januar 2007. Abgerufen 2007-03-19.^ “AMDs Star der n\u00e4chsten Generation unterst\u00fctzt DDR2-1066 und SSE4a”. HKEPC-Hardware. Abgerufen 2007-03-19.^ Shimpi, Anand Lal. “Barcelona Architektur: AMD auf dem Gegenangriff”. AnandTech. Archiviert vom Original am 19. M\u00e4rz 2007. Abgerufen 2007-03-18.^ Fall, Loyd. “AMD enth\u00fcllt Quad-Core-Details von Barcelona”. Ziff Davis. Abgerufen 2007-03-18.[dead link]^ “AMD Next Generation Processor Technology Slides”. HardOCP. 2006-08-22.^ “BIOS- und Kernel-Entwicklerhandbuch (BKDG) f\u00fcr 10-Stunden-Prozessoren der AMD-Familie” (PDF). p. 24. Archiviert von das Original (PDF) am 9. Juni 2011. Abgerufen 2010-05-29. Der physische Adressraum wurde auf 48 Bit erh\u00f6ht.^ “BIOS- und Kernel-Entwicklerhandbuch (BKDG) f\u00fcr 15h-Modelle der AMD-Familie 10h-1Fh-Prozessoren” (PDF). support.amd.com. Moderne Mikroger\u00e4te. 4. Juni 2013. p. 340. Abgerufen 25. Januar, 2015.^ In diesem Artikel bezeichnen die herk\u00f6mmlichen Pr\u00e4fixe f\u00fcr den Computerspeicher Basis-2-Werte, wobei “Kilobyte” (KB) = 2 ist10 Bytes.^ ein b c d e f G h ich https:\/\/docs.google.com\/spreadsheets\/d\/19Ms49ip5PBB7nYnf5urxsySvH-Sdy6liE2EBDaB8b54^ http:\/\/www.legitreviews.com\/article\/1009\/2\/^ ein b “AMD Athlon II Key Architectural Features”. Moderne Mikroger\u00e4te. Archiviert von das Original am 2. Dezember 2010. Abgerufen 8. Juli 2010.^ Athlon II: Viele neue Aufgaben der neuen Einsteiger-Prozessoren von AMD^ In arrivo nuovi processori Athlon II da AMD Archiviert 10. Juli 2011 an der Wayback-Maschine^ “AMD Phenom II X6: Thuban der Drache”. Archiviert von das Original am 16.07.2014. Abgerufen 2018-03-29.^ Theo Valich (28. Mai 2012). “AMD macht Transistornummern mit FX- und Fusionsprozessoren sauber”. Abgerufen 23. August 2013.^ Anand Lal Shimpi (27. September 2012). “AMD A10-5800K & A8-5600K Review: Trinity auf dem Desktop, Teil 1”. Abgerufen 23. August 2013.^ “AMD bringt die A-Serie und die ersten 32-nm-Athlon II X4-CPUs auf den Markt”. Abgerufen 2013-11-10.^ “Die AMD Mainstream-Plattform 2009”. Amd.com. Archiviert von das Original am 27.05.2012. Abgerufen 2014-04-30.^ ein b “AMD M880G Chipsatz”. Amd.com. Abgerufen 2014-04-30.^ “Die AMD Mainstream-Plattform 2010”. Amd.com. Abgerufen 2014-04-30.^ “Die AMD Ultrad\u00fcnne Plattform 2010”. Amd.com. Archiviert von das Original am 31.10.2012. Abgerufen 2014-04-30.^ David Kanter (27. Juni 2011). “AMD Fusion Architecture und Llano”. Real World Tech. Abgerufen 12. September 2015.^ Pierre Boudier; Graham Sellers (Juni 2011). “Speichersystem auf Fusion-APUs – Die Vorteile von Zero Copy”. AMD Fusion Developer Summit. Externe Links[edit] (adsbygoogle = window.adsbygoogle || []).push({});after-content-x4"},{"@context":"http:\/\/schema.org\/","@type":"BreadcrumbList","itemListElement":[{"@type":"ListItem","position":1,"item":{"@id":"https:\/\/wiki.edu.vn\/wiki21\/#breadcrumbitem","name":"Enzyklop\u00e4die"}},{"@type":"ListItem","position":2,"item":{"@id":"https:\/\/wiki.edu.vn\/wiki21\/2020\/12\/31\/amd-10h-wikipedia\/#breadcrumbitem","name":"AMD 10h – Wikipedia"}}]}]