AMD 10h – Wikipedia

before-content-x4

after-content-x4

Das AMD Familie 10h, oder K10ist eine Mikroprozessor-Mikroarchitektur von AMD, die auf der K8-Mikroarchitektur basiert.[1] Obwohl es einmal Berichte gab, dass der K10 abgesagt worden war,[2] Die ersten Opteron-Produkte der dritten Generation für Server wurden am 10. September 2007 auf den Markt gebracht. Die Phenom-Prozessoren für Desktops folgten und wurden am 11. November 2007 als unmittelbare Nachfolger der K8-Prozessorserie (Athlon 64, Opteron, 64-Bit) eingeführt Sempron).

Table of Contents

Nomenklaturen[edit]

Es wird allgemein von der PC-Community angenommen, dass ab der Zeit nach der Verwendung des Codenamens K8 Für die AMD K8- oder Athlon 64-Prozessorfamilie verwendet AMD keine K-Nomenklaturen mehr (ursprünglich für Kryptonite)[3]) da nach Anfang 2005 keine K-Nomenklatur-Namenskonvention über K8 hinaus in offiziellen AMD-Dokumenten und Pressemitteilungen enthalten ist.

Der Name “K8L“wurde erstmals 2005 von Charlie Demerjian geprägt, zu der Zeit als Schriftsteller bei Der Ermittler,[4] und wurde von der breiteren IT-Community als praktische Abkürzung verwendet[5] Laut offiziellen AMD-Dokumenten wurde die Prozessorfamilie als “AMD Next Generation Processor Technology” bezeichnet.[6]

Die Mikroarchitektur wurde auch als bezeichnet Sterne, da die Codenamen für die Desktop-Prozessorreihe unter Sternen oder Sternbildern benannt wurden (die ursprünglichen Phenom-Modelle hatten die Codenamen Agena und Toliman).

In einem Videointerview[7] Giuseppe Amato bestätigte, dass der Codename ist K10.

Der Ermittler selbst hat herausgefunden, dass der Codename “K8L“bezog sich auf eine Low-Power-Version der K8-Familie, später Turion 64 genannt, und das K10 war der offizielle Codename für die Mikroarchitektur.[5]

after-content-x4

AMD bezeichnet es als 10h-Prozessoren der Familie, da es der Nachfolger der Family 0Fh Processors (Codename K8) ist. 10h und 0Fh beziehen sich auf das Hauptergebnis der CPUID x86-Prozessoranweisung. Bei der hexadezimalen Nummerierung entspricht 0Fh (h steht für die hexadezimale Nummerierung) der Dezimalzahl 15 und 10h der Dezimalzahl 16. (Die Form “K10h”, die manchmal angezeigt wird, ist eine falsche Mischung aus dem Code “K” und der Familienidentifikationsnummer.)

Zeitplan für Start und Lieferung[edit]

Zeitleiste[edit]

Historische Informationen[edit]

Im Jahr 2003 erläuterte AMD in verschiedenen Veranstaltungen und Analystentreffen, einschließlich des Microprocessor Forum 2003, die Funktionen für kommende Generationen von Mikroprozessoren nach der K8-Prozessorfamilie.[8] Die beschriebenen Funktionen, die von den Mikroprozessoren der nächsten Generation bereitgestellt werden sollen, lauten wie folgt:

Am 13. April 2006 bestätigte Henri Richard, Executive Vice President und Chief Officer für Marketing und Vertrieb bei AMD[9] die Existenz der neuen Mikroarchitektur in einem Interview.

Im Juni 2006 hatte AMD-Vizepräsident Henri Richard ein weiteres Interview mit DigiTimes kommentierte die bevorstehenden Prozessorentwicklungen:

F: Wie sehen Sie die Entwicklung der AMD-Prozessortechnologie in den nächsten drei bis vier Jahren umfassend? A: Nun, wie Dirk Meyer bei unserem Analystentreffen kommentierte, stehen wir nicht still. Wir haben über die Aktualisierung der aktuellen K8-Architektur gesprochen, die in ’07 erscheinen wird, mit signifikanten Verbesserungen in vielen verschiedenen Bereichen des Prozessors, einschließlich Integer-Leistung, Gleitkomma-Leistung, Speicherbandbreite, Verbindungen und so weiter.

– –AMD Executive Vice President, Henri Richard, Quelle: DigiTimes Interview mit Henri Richard[10]

Bestätigung von Zeitrahmen[edit]

Am 21. Juli 2006 bestätigten AMD-Präsident und Chief Operating Officer (COO) Dirk Meyer und Senior VP Marty Seyer den Starttermin für neue Mikroprozessoren von Revision H. unter der neuen Mikroarchitektur ist für Mitte 2007 geplant; und dass es eine Quad-Core-Version für Server, Workstations und High-End-Desktops sowie eine Dual-Core-Version für Consumer-Desktops enthalten wird. Manche der Revision H. Opterons, die 2007 ausgeliefert wurden, haben eine thermische Auslegungsleistung von 68 W.

Am 15. August 2006, bei der Einführung der ersten Socket F-Dual-Core-Opterons, gab AMD bekannt, dass das Unternehmen die endgültige Entwurfsphase (Tape-Out) von Quad-Core-Opteron-Teilen erreicht hat. Die nächsten Phasen sind Tests und Validierungen. Die Probenahme erfolgt nach einigen Monaten.[11]

Am 29. Juni 2007 gab AMD bekannt, dass Serverprozessoren den Codenamen haben Barcelona wird im August 2007 ausgeliefert, und entsprechende Serversysteme von Partnern werden im September desselben Jahres ausgeliefert.[12]

Am 13. August wurden die gemeldeten Versanddaten für die ersten Barcelona-Prozessoren auf den 10. September 2007 festgelegt. Sie kündigten an, dass die Opteron 2348 und 2350 Kernfrequenzen von 1,9 GHz und 2,0 GHz haben werden.[13]

TLB Bug[edit]

Im November 2007 stellte AMD die Lieferung von Barcelona-Prozessoren ein, nachdem ein Fehler im Translation Lookaside Buffer (TLB) von Schritt B2 entdeckt wurde, der selten zu einer Rennbedingung und damit zu einer Systemblockierung führen konnte.[14] Ein Patch im BIOS oder in der Software hat den Fehler umgangen, indem der Cache für Seitentabellen deaktiviert wurde. Er war jedoch mit einem Leistungsverlust von 5 bis 20% verbunden. Kernel-Patches, die diese Strafe fast vollständig vermeiden würden, wurden für Linux veröffentlicht. Im April 2008 wurde der neue Steping B3 von AMD auf den Markt gebracht, einschließlich einer Fehlerbehebung und weiterer geringfügiger Verbesserungen.[15]

Interne Codenamen[edit]

Ab November 2006 wurden in Berichten die kommenden Codenamen für Desktop-Teile veröffentlicht Agena, Agena FXund die Kerngeschwindigkeiten der Teile reichen von 2,4 GHz bis 2,9 GHz, 512 KB L2-Cache pro Kern, 2 MB L3-Cache unter Verwendung von HyperTransport 3.0 mit einer TDP von 125 W.[16] In jüngsten Berichten wurden Single-Core-Varianten (Codename) verwendet Spica) und Dual Core mit oder ohne L3-Cache (Codename) Kuma und Rana jeweils) sind unter der gleichen Mikroarchitektur verfügbar.[17]

Während des AMD Analyst Day 2006 am 14. Dezember 2006 gab AMD den offiziellen Zeitplan für Server-, Desktop- und mobile Prozessoren bekannt.[18] Für das Serversegment wird AMD zwei neue Prozessoren vorstellen, die auf der Architektur mit dem Codenamen “Barcelona” und “Budapest“für 8/4/2-Wege- bzw. 1-Wege-Server. Für das zweite Halbjahr 2007 werden HyperTransport 3.0 und Socket AM2 + vorgestellt, die für die spezifische Implementierung der oben genannten Consumer-Quad-Core-Desktop-Chip-Serie mit konzipiert sind Die Namenskonvention ändert sich von Städtenamen (bis Mitte 2007) zu Sternen oder Sternbildern danach, wie z Agena;; Darüber hinaus werden die AMD Quad FX-Plattform und ihr unmittelbarer Nachfolger die High-End-Dual-Prozessor-Versionen des Chips mit dem Codenamen as unterstützen Agena FX, aktualisiert die Prozessorlinie für die AMD Quad FX-Plattform. Wie bei den Serverchips mit Codenamen BarcelonaDie neue Desktop-Quad-Core-Serie wird über einen gemeinsam genutzten L3-Cache, 128-Bit-Gleitkommaeinheiten (FP) und eine verbesserte Mikroarchitektur verfügen. Agena wird der native Quad-Core-Prozessor für den Desktop sein. Kumawird im dritten Quartal eine Dual-Core-Variante folgen RanaDie Dual-Core-Version ohne gemeinsam genutzten L3-Cache wird Ende des Jahres erwartet.

Nachfolgende Produkteinführungen[edit]

Weitere Informationen zum kommenden Chip mit dem Codenamen “Montreal“auf der Server-Roadmap[19] unter Verwendung der MCM-Technik von zwei “Shanghai“Kerne mit insgesamt 12 MB L3-Cache[20] Codename AMD K10.5.[21] Die Desktop-Variante für Shanghai ist Codename Ridgeback.[22] Danach erfolgt die Freigabe von Produkten auf Basis der Bulldozer Kerne, die mit integriertem Grafikkern (AMD Accelerated Processing Unit) oder nativer Octal-Core-Serverarchitektur (8 Core) (Codename) optimiert sind Sandtiger), und die Bobcat Kern, optimiert für den Betrieb mit geringem Stromverbrauch.

Änderung der Modellnomenklaturen[edit]

Während der Computex 2007 Anfang Juni wurden neue Informationen zu den Benennungsschemata der kommenden AMD-Mikroprozessoren veröffentlicht. Zusätzliche Buchstaben, die sowohl die Leistung als auch die Leistungshülle angeben, stehen vor der 4-stelligen Modellnummer.[23]

Die Modellnummern der neuen Prozessorreihe wurden offenbar gegenüber den PR-Bewertungen der Vorgänger, der Prozessoren der Athlon 64-Serie, geändert (mit Ausnahme der Phenom FX-Serie, die der Nomenklatur der Athlon 64 FX-Serie folgen soll). Wie von DailyTech berichtet,[24] Die Modellnummern liegen im alphanumerischen Format als AA – @ ### vor, wobei AA alphabetische Buchstaben sind, wobei der erste Buchstabe die Prozessorklasse und der zweite die typische TDP-Leistungshüllkurve angibt. Das Zeichen @ ist der Serienindikator, der je nach Branding variiert (siehe Tabelle unten), und die letzten drei Zeichen (###) sind die Modellnummer, wobei höhere Zahlen eine höhere Leistung anzeigen.

Über die Details der Modellnummern waren nicht viele Informationen bekannt, aber die Prozessoren werden in drei Segmente unterteilt: Premium, Intermediate und Value. Die Modellnummern des Premium-Segments haben die Prozessorklasse “G”, das Zwischensegment “B” und die Wertstufe “L”, wie im Internet auf der AsRock-Website ermittelt.[25] In ähnlicher Weise werden drei TDP-Niveaus, “mehr als 65 W”, “65 W” und “weniger als 65 W”, durch die Buchstaben “P”, “S” bzw. “E” angegeben.[24]

Ab November 2007 hat AMD die Buchstaben aus den Modellnamen und X2 / X3 / X4-Monikern entfernt, um die Anzahl der Kerne des Prozessors darzustellen. Dabei blieb nur eine vierstellige Modellnummer übrig, wobei das erste Zeichen die einzige Identifikation der Prozessorfamilie ist ,[26] während Sempron das LE-Präfix wie folgt verwendete:

Seriennummer[27]
Prozessorserie Indikator
Phenom Quad-Core (Agena) 9
Phenom Triple-Core (Toliman) 8
Athlon Dual-Core (Kuma) 7
Athlon Single-Core (Lima) 1
Sempron LE Single-Core (Sparta) 1

Live-Demonstrationen[edit]

Am 30. November 2006 demonstrierte AMD live den nativen Quad-Core-Chip “Barcelona” erstmals öffentlich.[28] während der Ausführung von Windows Server 2003 64-Bit Edition. AMD behauptet eine 70% ige Skalierung der Leistung bei realen Lasten und eine bessere Leistung als der Intel Xeon 5355-Prozessor mit dem Codenamen Clovertown.[29] Weitere Details zu dieser ersten Überarbeitung der AMD-Mikroprozessorarchitektur der nächsten Generation, einschließlich ihrer Taktraten, sind im Internet aufgetaucht.[30][31]

Am 24. Januar 2007 behauptete AMD-Vizepräsident Randy Allen, dass “Barcelona” in Live-Tests in Bezug auf eine Vielzahl von Workloads einen Leistungsvorteil von 40% gegenüber dem vergleichbaren Intel Xeon-Codenamen nachweisen konnte Clovertown Quad-Core-Prozessoren mit zwei Prozessoren (2P).[32] Die erwartete Leistung des Gleitkommas pro Kern wäre ungefähr 1,8-mal so hoch wie die der K8-Familie bei gleicher Taktrate.[33]

Am 10. Mai 2007 veranstaltete AMD eine private Veranstaltung, bei der die bevorstehenden Prozessoren mit dem Codenamen demonstriert wurden Agena FX und Chipsätze, wobei ein demonstriertes System die AMD Quad FX-Plattform mit einer Radeon HD 2900 XT-Grafikkarte auf dem kommenden RD790-Chipsatz ist. Außerdem wurde das System in Echtzeit beim Konvertieren eines 720p-Videoclips in ein anderes nicht bekannt gegebenes Format demonstriert, während alle 8 Kerne maximal waren 100% durch andere Aufgaben.[34]

Schwester Mikroarchitektur[edit]

In einem ähnlichen Zeitrahmen wird auch eine Schwester-Mikroarchitektur fällig, die sich auf Chips mit geringerem Stromverbrauch in mobilen Plattformen sowie auf Funktionen mit kleinem Formfaktor konzentrieren wird. Diese Mikroarchitektur enthält spezielle Funktionen wie den für Mobilgeräte optimierten Crossbar-Switch und Speichercontroller sowie andere On-Die-Komponenten. Link Power Management für HyperTransport 3.0; und andere. Zu dieser Zeit nannte AMD es einfach “New Mobile Core”, ohne einen bestimmten Codenamen anzugeben.

Am Analystentag im Dezember 2006 kündigte Executive Vice President Marty Seyer den neuen mobilen Kern mit dem Codenamen an Greif wurde 2008 mit ererbten Energieoptimierungstechnologien aus der K10-Mikroarchitektur eingeführt, die jedoch auf einem K8-Design basieren.

Iterationen der Veröffentlichung[edit]

Ende 2007 bis zum zweiten Quartal 2008 wird der Kern, der am 45-nm-Prozessknoten hergestellt werden soll, modifiziert.[35] Mit Verbesserungen wie FB-DIMM-Unterstützung, Direct Connect Architecture 2.0, verbesserter Zuverlässigkeit, Verfügbarkeit und Wartungsfreundlichkeit (RAS) und wahrscheinlich mehr für den Prozessorchip. Die Plattform bietet außerdem Unterstützung für E / A-Virtualisierung, PCI Express 2.0, 10-Gigabit-Netzwerkkarte, größere Caches und mehr.

Berichten zufolge wurde die FB-DIMM-Unterstützung jedoch aus zukünftigen Roadmaps der meisten AMD-Produkte gestrichen, da die Popularität gering ist.[36][37] Auch die Zukunft von FB-DIMM als Industriestandard wurde in Frage gestellt.

Ein von The Inquirer veröffentlichter Artikel bestätigt die früheren Berichte der Zeitleiste (wie in diesem Artikel zitiert). Dem Bericht zufolge wird es drei Iterationen des Serverprozessorkerns geben: eine mit Namen Barcelona, fällig im zweiten Quartal 2007, mit neuen CPU-Kernkomponenten sowie der Mikroarchitektur, die jedoch auf der alten HyperTransport 2.0-Infrastruktur aufbauen; der zweite ist Budapest für Single-Socket-Systeme mit Socket AM2 + oder Socket AM3 mit HyperTransport 3.0; und der dritte mit dem Codenamen Shanghai ist ein Update des Serverchips, basierend auf 45 nm Prozess,[38] wahrscheinlich auch mit der Implementierung von HyperTransport 3.0 und DDR3, die im ersten bis zweiten Quartal 2008 fällig ist.[39]

AMD gab am 17. September 2007 bekannt[40] dass ein Drei-Kern-Prozessor (Triple-Core-Prozessor) auch unter der Marke Phenom mit dem Codenamen veröffentlicht wird Toliman. AMD-Mitarbeiter antworteten in einem Interview, dass dieses Produkt von ATI-Technologien profitiert, um dem Quad-Core-Prozessor Sicherungen hinzuzufügen und einen der vier Kerne herunterzufahren[41] ein Triple-Core-Prozessor zu werden, dessen Technik beliebt war, um einen oder mehrere Mainstream-GPU-Kerne aus einem einzelnen High-End-GPU-Kern herzustellen, indem Teile der Schaltung ausgeblasen wurden, um F & E-Kosten zu sparen und vor einiger Zeit mehr Märkte anzusprechen. Der Triple-Core-Prozessor sieht immer noch die gleichen Spezifikationen für Quad-Core-Varianten. Die Benennung der Prozessorreihe gemäß dem AMD-Markenschema wird als Phenom Triple-Core 8000-Serie bezeichnet. Die Prozessorlinie wird sich auf das konzentrieren, was AMD ist In einem Interview mit BetaNews wurde das vierte Marktsegment oder das “High-End-Mainstream” -Segment neben den Segmenten “Value”, “Mainstream” und “Performance” genannt. Die Zielkunden der Prozessoren sind “diejenigen, die bereit sind, mehr für mehr Leistung zu zahlen, aber nicht dafür erforderlich sind.” zu viel Rechenleistung, wie von Spielern und Systemherstellern gefordert “,[42][43] Es gibt Single-Core-Varianten (Sempron) für den Low-End-Markt und Dual-Core-Varianten (Athlon) für den Mittelklasse-Markt sowie Quad-Core-Varianten (Phenom Quad-Core 9000 Series und Phenom FX) High-End-Markt im gleichen Zeitraum.

Weitere im Jahr 2008 wird AMD vorstellen Deneb FX für den Ersatz für die AMD Quad FX-Plattform sowie Deneb für den Mainstream. Vorschläge und Regor wird auch ersetzen Kuma und Rana in den unteren Marktsegmenten. Der Sockel AM2 +, der Ende 2006 benannt wurde, war möglicherweise der ursprüngliche AM3-Sockel, aber als sich die Namenskonventionen änderten, wurde der Socket AM3 die nächste Generation von DDR3-fähigen Consumer-Desktop-Sockets sein.[44]

Eigenschaften[edit]

Herstellungstechnologie[edit]

AMD hat die Mikroprozessoren eingeführt, die bei einer Strukturbreite von 65 nm unter Verwendung der SOI-Technologie (Silicon-on-Insulator) hergestellt wurden, da die Freigabe von K10 mit der Volumenrampe dieses Herstellungsprozesses zusammenfällt.[45] Die Server werden für Socket F (1207) oder höher mit 1207-poliger Socket-Infrastruktur hergestellt, dem einzigen Server-Socket auf der kurzfristigen Roadmap von AMD. Die Desktop-Teile werden auf Socket AM2 oder Socket AM2 + geliefert.

AMD gab während des Technology Analyst Day bekannt[46] dass die Verwendung von CTI (Continuous Transistor Improvement) und STT (Shared Transistor Technology) schließlich zur Implementierung von Silicon-Germanium-On-Insulator (SGoI) auf 65-nm-Prozess-CPUs führen würde.[47]

Spätere Prozessoren wurden unter Verwendung der 45-nm-SOI-Technologie hergestellt.

“APU” K10-Prozessoren wurden unter Verwendung der 32-nm-SOI-Technologie hergestellt.

Ab 45 nm wurde Immersionslithographie verwendet.

Unterstützte DRAM-Standards[edit]

Es ist bekannt, dass die K8-Familie besonders empfindlich auf Speicherlatenz reagiert, da ihr Design durch Minimierung durch die Verwendung eines in die CPU integrierten On-Die-Speichercontrollers an Leistung gewinnt. Eine erhöhte Latenz in den externen Modulen negiert die Nützlichkeit der Funktion. DDR2-RAM führt eine zusätzliche Latenz gegenüber herkömmlichem DDR-RAM ein, da der DRAM intern von einem Takt mit einem Viertel der externen Datenfrequenz gesteuert wird, im Gegensatz zu der Hälfte der von DDR. Da jedoch die Befehlstaktrate in DDR2 im Vergleich zu DDR verdoppelt wird und andere latenzreduzierende Merkmale (z. B. additive Latenz) eingeführt wurden, sind übliche Vergleiche, die auf der CAS-Latenz allein basieren, nicht ausreichend. Beispielsweise ist bekannt, dass Socket AM2-Prozessoren unter Verwendung von DDR2-SDRAM eine ähnliche Leistung aufweisen wie Socket 939-Prozessoren, die DDR-400-SDRAM verwenden. K10-Prozessoren unterstützen DDR2-SDRAM mit einer Nennleistung von bis zu DDR2-1066 (1066 MHz).[48]

Während einige Desktop-K10-Prozessoren AM2 + nur DDR2 unterstützen, unterstützt ein AM3-K10-Prozessor sowohl DDR2 als auch DDR3. Einige AM3-Motherboards haben sowohl DDR2- als auch DDR3-Steckplätze (dies bedeutet nicht, dass Sie beide Typen gleichzeitig anpassen können), aber zum größten Teil haben sie nur DDR3.

Lynx-Desktop-Prozessoren unterstützen nur DDR3, da sie den FM1-Socket verwenden.

Höherer Rechendurchsatz[edit]

Mehrere Quellen (wie AnandTech, The Inquirer und Geek.com) berichteten auch, dass die Mikroprozessoren, die die Mikroarchitektur implementieren, eine Verdoppelung der Breite der SSE-Ausführungseinheiten in den Kernen aufweisen werden. Mit Hilfe wesentlicher Verbesserungen des Speichersubsystems (wie z. B. Neuordnung der Last und verbesserte Vorabrufmechanismen) sowie des doppelten Abrufs und Ladens von Befehlen wird erwartet, dass die Eignung des Prozessors für wissenschaftliche und leistungsstarke Computeraufgaben erhöht wird und potenziell die Wettbewerbsfähigkeit mit Intels Xeon, Core 2, Itanium 2 und anderen modernen Mikroprozessoren verbessern.

Viele der Verbesserungen des Rechendurchsatzes jedes Kerns sind unten aufgeführt.

Merkmale der Mikroarchitektur[edit]

K10 Single Core mit Overlay-Beschreibung, ausgenommen das L2-Cache-Array.

Zu den Merkmalen der Mikroarchitektur gehören:[49]

  • Formfaktoren
    • Sockel AM2 + mit DDR2 für die 65 nm Phenom und Athlon 7000 Serie
    • Sockel AM3 mit DDR2 oder DDR3 für Semprons und die 45-nm-Serien Phenom II und Athlon II. Sie können auch auf AM3 + -Mutterboards mit DDR3 verwendet werden. Beachten Sie, dass alle K10 Phenom-Prozessoren abwärtskompatibel mit Socket AM2 + und Socket AM2 sind, einige 45-nm-Phenom II-Prozessoren jedoch nur für Socket AM2 + verfügbar sind. Luchs Prozessoren verwenden weder AM2 + noch AM3.
    • Buchse FM1 mit DDR3 für Luchs Prozessoren.
    • Buchse F mit DDR2, DDR3 mit Shanghai und später Opteron-Prozessoren
  • Ergänzungen und Erweiterungen des Befehlssatzes
    • Neue Bitmanipulationsanweisungen ABM: Leading Zero Count (LZCNT) und Population Count (POPCNT)
    • Neue SSE-Anweisungen mit dem Namen SSE4a: kombinierte Maskenverschiebungsanweisungen (EXTRQ / INSERTQ) und skalare Streaming-Speicheranweisungen (MOVNTSD / MOVNTSS). Diese Anweisungen sind in Intels SSE4 nicht enthalten
    • Unterstützung für nicht ausgerichtete SSE-Ladevorgänge (für die früher eine 16-Byte-Ausrichtung erforderlich war)[50]
  • Verbesserungen der Ausführungspipeline
    • 128 Bit breite SSE-Einheiten
    • Breitere L1-Datencache-Schnittstelle, die zwei 128-Bit-Ladevorgänge pro Zyklus ermöglicht (im Gegensatz zu zwei 64-Bit-Ladevorgängen pro Zyklus mit K8)
    • Niedrigere Latenzzeit für ganzzahlige Teilungen
    • Indirekter Verzweigungsprädiktor mit 512 Einträgen und ein größerer Rückgabestapel (Größe gegenüber K8 verdoppelt) und Verzweigungszielpuffer
    • Seitenband-Stapeloptimierer, der zum Inkrementieren / Dekrementieren des Registerstapelzeigers vorgesehen ist
    • Fastpathed CALL- und RET-Imm-Befehle (früher mikrocodiert) sowie MOVs von SIMD-Registern zu Allzweckregistern
  • Integration neuer Technologien in den CPU-Chip:
    • Vier Prozessorkerne (Quad-Core)
    • Geteilte Leistungsebenen für CPU-Kern und Speichercontroller / Northbridge für eine effektivere Energieverwaltung, zuerst synchronisiert Dynamisches unabhängiges Kernengagement oder WÜRFEL von AMD und jetzt bekannt als Verbessertes PowerNow! (auch als Independent Dynamic Core Technology bezeichnet), sodass die Kerne und Northbridge (integrierter Speichercontroller) den Stromverbrauch unabhängig voneinander erhöhen oder verringern können.[51]
    • Abschalten von Teilen der Schaltkreise im Kern, wenn diese nicht geladen sind, mit der Bezeichnung “CoolCore” -Technologie.
  • Verbesserungen im Speichersubsystem:
    • Verbesserungen der Zugriffslatenz:
      • Unterstützung für die Nachbestellung von Ladungen vor anderen Ladungen und Lagern
      • Aggressiveres Befehlsvorabrufen, 32-Byte-Befehlsvorabruf im Gegensatz zu 16 Byte in K8
      • DRAM-Prefetcher zum Puffern von Lesevorgängen
      • Gepuffertes Burst-Writeback in den RAM, um Konflikte zu reduzieren
    • Änderungen in der Speicherhierarchie:
      • Prefetch direkt in den L1-Cache im Gegensatz zum L2-Cache mit K8-Familie
      • Assoziativer 32-Wege-L3-Opfer-Cache mit einer Größe von mindestens 2 MB, der von den Verarbeitungskernen auf einem einzelnen Chip (jeweils mit 512 KB unabhängigem exklusivem L2-Cache) gemeinsam genutzt wird, mit einer teilungsbewussten Ersetzungsrichtlinie.
      • Erweiterbares L3-Cache-Design mit 6 MB für 45-nm-Prozessknoten mit dem Codenamen Chips Shanghai.
    • Änderungen in der Adressraumverwaltung:
      • Zwei unabhängige 64-Bit-Speichercontroller mit jeweils eigenem physischen Adressraum; Dies bietet die Möglichkeit, die verfügbare Bandbreite bei zufälligen Speicherzugriffen in Umgebungen mit starkem Multithreading besser zu nutzen. Dieser Ansatz steht im Gegensatz zum vorherigen “Interleaved” -Design, bei dem die beiden 64-Bit-Datenkanäle an einen einzigen gemeinsamen Adressraum gebunden waren.
      • Größere getaggte Lookaside-Puffer; Unterstützung für 1-GB-Seiteneinträge und einen neuen 2-MB-Seiten-TLB mit 128 Einträgen
      • 48-Bit-Speicheradressierung für 256-TB-Speichersubsysteme[52]
      • Speicherspiegelung (alternativ zugeordnete DIMM-Adressierung),[53] Unterstützung bei Datenvergiftung und Enhanced RAS
      • AMD-V Nested Paging für eine verbesserte MMU-Virtualisierung soll die Weltumschaltzeit um 25% verkürzt haben.
  • Verbesserungen bei der Systemverbindung:
    • Unterstützung für HyperTransport-Wiederholungsversuche
    • Unterstützung für HyperTransport 3.0 mit Aufhebung der HyperTransport-Verbindung, wodurch 8 Punkt-zu-Punkt-Verbindungen pro Socket erstellt werden.
  • Verbesserungen auf Plattformebene mit zusätzlichen Funktionen:
    • Fünf p-Zustände, die eine automatische Taktratenmodulation ermöglichen
    • Erhöhtes Clock Gating
    • Offizielle Unterstützung für Coprozessoren über HTX-Steckplätze und freie CPU-Sockel über die Initiative HyperTransport: Torrenza.

Feature-Tabellen[edit]

CPUs[edit]

CPU-Funktionstabelle

APUs[edit]

APU-Funktionstabelle

Desktop[edit]

Phänomenmodelle[edit]

Agena (65 nm SOI, Quad-Core)[edit]

  • Vier AMD K10-Kerne
  • L1-Cache: 64-KB-Anweisung und 64-KB-Daten[54] (Daten + Anweisungen) pro Kern
  • L2-Cache: 512 KB pro Kern, volle Geschwindigkeit
  • L3-Cache: 2 MB, die von allen Kernen gemeinsam genutzt werden
  • Speichercontroller: Zweikanal-DDR2-1066 MHz mit Unganging-Option
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-V
  • Sockel AM2 +, HyperTransport mit 1600 bis 2000 MHz
  • Stromverbrauch (TDP): 65, 95, 125 und 140 Watt
  • Erste Veröffentlichung
    • 19. November 2007 (B2 Stepping)
    • 27. März 2008 (B3 Stepping)
  • Taktrate: 1800 bis 2600 MHz
  • Modelle: Phenom X4 9100e – 9950

Toliman (65 nm SOI, Tri-Core)[edit]

  • Drei AMD K10-Kerne
  • L1-Cache: 64-KB-Anweisung und 64-KB-Datencache pro Kern
  • L2-Cache: 512 KB pro Kern, volle Geschwindigkeit
  • L3-Cache: 2 MB, die von allen Kernen gemeinsam genutzt werden
  • Speichercontroller: Zweikanal-DDR2-1066 MHz mit Unganging-Option
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-V
  • Sockel AM2 +, HyperTransport mit 1600 bis 1800 MHz
  • Stromverbrauch (TDP): 65 und 95 Watt
  • Erste Veröffentlichung
    • 27. März 2008 (B2 Stepping)
    • 23. April 2008 (B3 Stepping)
  • Taktrate: 2100 bis 2500 MHz
  • Modelle: Phenom X3 8250e – 8850

Phenom II Modelle[edit]

Thuban (45 nm SOI, Hexa-Kern)[edit]

  • Sechs AMD K10-Kerne
  • L1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro Kern
  • L2-Cache: 512 KB pro Kern, volle Geschwindigkeit
  • L3-Cache: 6 MB werden von allen Kernen gemeinsam genutzt.
  • Speichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-Option
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-V
  • Sockel AM2 +, Sockel AM3, HyperTransport mit 1800 bis 2000 MHz
  • Stromverbrauch (TDP): 95 oder 125 Watt
  • Erste Veröffentlichung
    • 27. April 2010 (E0 Stepping)
  • Taktrate: 2,6 – 3,3 GHz; bis zu 3,7 GHz mit Turbo Core
  • Modelle: Phenom II X6 1035T, 1045T, 1055T, 1065T, 1075T, 1090T und 1100T

Zosma (45 nm SOI, Quad-Core)[edit]

  • Vier AMD K10-Kerne aus Thuban mit zwei deaktivierten Kernen[55]
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V, Turbo Core (AMD-Äquivalent zu Intel Turbo Boost)
  • Speicherunterstützung: DDR2-SDRAM bis PC2-8500, DDR3-SDRAM bis PC3-10600 (nur Sockel AM3)
  • Modelle: Phenom II X4 650T, 840T, 960T, 970 (Thosan-basierter Zosma-Kern, nur OEM, 970 hat den Multiplikator freigeschaltet, jedoch ohne Turbo-Kern)

Deneb (45 nm SOI, Quad-Core)[edit]

  • Vier AMD K10-Kerne
  • L1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro Kern
  • L2-Cache: 512 KB pro Kern, volle Geschwindigkeit
  • L3-Cache: 6 MB werden von allen Kernen gemeinsam genutzt. Bei der 800er-Serie sind 2 MB des L3-Cache aufgrund von Fehlern deaktiviert.
  • Speichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-Option
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-V
  • Sockel AM2 +, Sockel AM3, HyperTransport mit 1800 bis 2000 MHz
  • Stromverbrauch (TDP): 65, 95, 125 und 140 Watt
  • Erste Veröffentlichung
    • 8. Januar 2009 (C2 Stepping)
  • Taktrate: 2500 bis 3700 MHz
  • Modelle: Phenom II X4 805 – 980 (außer 840 und 850)

42 TWKR Limited Edition (45 nm SOI, Quad-Core)[edit]

AMD hat einen Deneb-basierten Prozessor in limitierter Auflage für extreme Overclocker und Partner veröffentlicht. Es wurden weniger als 100 hergestellt.

Die “42” repräsentiert offiziell vier Kerne, die mit 2 GHz laufen, ist aber auch ein Hinweis auf die Antwort auf das Leben, das Universum und alles von Per Anhalter durch die Galaxis.[56]

Propus (45 nm SOI, Quad-Core)[edit]

  • Vier AMD K10-Kerne aus Deneb mit deaktiviertem L3-Cache[55]
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V
  • Speicherunterstützung: DDR2-SDRAM bis PC2-8500 (DDR2-1066 MHz), DDR3-SDRAM bis PC3-10600 (DDR3-1333 MHz) (nur Sockel AM3)
  • Modelle: Phenom II X4 840 und 850

Heka (45 nm SOI, Tri-Core)[edit]

  • Drei AMD K10-Kerne mit Chip-Harvesting-Technik, wobei ein Kern deaktiviert ist
  • L1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro Kern
  • L2-Cache: 512 KB pro Kern, volle Geschwindigkeit
  • L3-Cache: 6 MB werden von allen Kernen gemeinsam genutzt
  • Speichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-Option
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-V
  • Sockel AM3, HyperTransport mit 2000 MHz
  • Stromverbrauch (TDP): 65 und 95 Watt
  • Erste Veröffentlichung
    • 9. Februar 2009 (C2 Stepping)
  • Taktrate: 2500 bis 3000 MHz
  • Modelle: Phenom II X3 705e – 740

Callisto (45 nm SOI, Dual-Core)[edit]

  • Zwei AMD K10-Kerne mit Chip-Harvesting-Technik, wobei zwei Kerne deaktiviert sind
  • L1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro Kern
  • L2-Cache: 512 KB pro Kern, volle Geschwindigkeit
  • L3-Cache: 6 MB werden von allen Kernen gemeinsam genutzt
  • Speichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-Option
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-V
  • Sockel AM3, HyperTransport mit 2000 MHz
  • Stromverbrauch (TDP): 80 Watt
  • Erste Veröffentlichung
    • 1. Juni 2009 (C2 Stepping)
  • Taktrate: 3000 bis 3500 MHz
  • Modelle: Phenom II X2 545 – 570

Regor (45 nm SOI, Dual-Core)[edit]

  • Zwei AMD K10-Kerne
  • Einige sind Chip-Ernten von Propus oder Deneb mit zwei deaktivierten Kernen[55]
  • Die meisten Regor-basierten Prozessoren bieten doppelt so viel L2-Cache pro Kern (1 MB) wie andere Athlon II- und Phenom II-Prozessoren.
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V
  • Speicherunterstützung: DDR2-SDRAM bis PC2-8500, DDR3-SDRAM bis PC3-8500 (DDR3-1066 MHz) (nur Sockel AM3)
  • Modelle: Phenom II X2 511 und 521

Athlon X2 Modelle[edit]

Kuma (65 nm SOI, Dual-Core)[edit]

  • Zwei AMD K10-Kerne aus Agena mit zwei deaktivierten Kernen[55]
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V[57]
  • Modelle: Athlon X2 6500 – 7850

Regor / Deneb (45 nm SOI, Dual-Core)[edit]

  • Zwei AMD K10-Kerne. Bei Prozessoren der Serie 5000 handelt es sich um Chip-Ernten von Propus oder Deneb. Alle Chips der Serie 5200 sind Ernten, bei denen jeweils zwei Kerne deaktiviert sind[55]
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V[57]
  • Modelle: Athlon X2 5000+ und 5200+

Athlon II Modelle[edit]

Zosma (45 nm SOI, Quad-Core)[edit]

  • Vier AMD K10-Kerne aus Thuban mit zwei deaktivierten Kernen[55]
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V, Turbo Core (AMD-Äquivalent zu Intel Turbo Boost)
  • Speicherunterstützung: DDR2-SDRAM bis PC2-8500, DDR3-SDRAM bis PC3-10600 (nur Sockel AM3)
  • Modelle: Athlon II X4 640[T]

Propus (45 nm SOI, Quad-Core)[edit]

  • Vier AMD K10-Kerne[58][59]
  • L1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro Kern
  • L2-Cache: 512 KB pro Kern, volle Geschwindigkeit
  • Speichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-Option
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-V
  • Sockel AM3, HyperTransport mit 2000 MHz
  • Leistungsaufnahme (TDP): 45 Watt oder 95 Watt
  • Erste Veröffentlichung
    • September 2009 (C2 Stepping)
  • Taktrate: 2200 – 3100 MHz
  • Modelle: Athlon II X4 600e – 650

Rana (45 nm SOI, Tri-Core)[edit]

  • Drei AMD K10-Kerne, die von Propus oder Deneb mit einem deaktivierten Kern geerntet wurden[55]
  • L1-Cache: 64 kB + 64 kB (Daten + Anweisungen) pro Kern
  • L2-Cache: 512 kB pro Kern, volle Geschwindigkeit
  • Speichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-Option
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-V
  • Sockel AM3, HyperTransport mit 2 GHz
  • Matrizengröße: 169 mm²[60]
  • Leistungsaufnahme (TDP): 45 Watt oder 95 Watt
  • Erste Veröffentlichung
    • Oktober 2009 (Schritt C2)
  • Taktrate: 2,2–3,4 GHz
  • Modelle: Athlon II X3 400e – 460

Regor (45 nm SOI, Dual-Core)[edit]

  • Zwei AMD K10-Kerne
  • L1-Cache: 64-KB-Anweisungen und 64-KB-Daten pro Kern
  • L2-Cache: 1024 KB pro Kern, volle Geschwindigkeit
  • Speichercontroller: Zweikanal-DDR2-1066 MHz (AM2 +), Zweikanal-DDR3-1333 (AM3) mit Unganging-Option
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, AMD64, Cool’n’Quiet, NX-Bit, AMD-V
  • Sockel AM3, HyperTransport mit 2000 MHz
  • Leistungsaufnahme (TDP): 65 Watt
  • Erste Veröffentlichung
  • Taktrate: 1600 – 3600 MHz
  • Modelle: Athlon II X2 250u – 280

Sargas (45 nm SOI, Single-Core)[edit]

  • Einzelne AMD K10-Kernernte von Regor mit deaktiviertem Kern[55]
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V
  • Speicherunterstützung: DDR2-SDRAM bis PC2-6400, DDR3-SDRAM bis PC3-8500 (nur Sockel AM3)
  • Modelle: Athlon II 160u und 170u

Luchs (32 nm SOI, Dual oder Quad-Core)[edit]

Sempron-Modelle[edit]

Sargas (45 nm SOI, Single-Core)[edit]

  • Einzelner AMD K10-Kernchip aus Regor mit deaktiviertem Kern[55]
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V
  • Modelle: Sempron 130-150

Sempron X2 Modelle[edit]

Regor (45 nm SOI, Dual-Core)[edit]

  • Zwei AMD K10-Kerne
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V
  • Modelle: Sempron X2 180 und 190

Luchs (32 nm SOI, Dual-Core)[edit]

Llano “APUs”[edit]

Luchs (32 nm SOI, Dual oder Quad-Core)[edit]

Die Desktop-APUs der ersten Generation, die auf der K10-Mikroarchitektur basieren, wurden 2011 veröffentlicht (einige Modelle bieten keine Grafikfunktionen, wie z Luchs Athlon II und Sempron X2).

  • Herstellung 32 nm im GlobalFoundries SOI-Prozess
  • Buchse FM1
  • Matrizengröße: 228 mm2mit 1,178 Milliarden Transistoren[61][62]
  • AMD K10-Kerne ohne L3-Cache
  • GPU: TeraScale 2
  • Alle Modelle der A- und E-Serie sind ausgestattet Redwood-klasse integrierte Grafiken auf dem Würfel (BeaverCreek für die Dual-Core-Varianten und WinterPark für die Quad-Core-Varianten). Sempron- und Athlon-Modelle schließen integrierte Grafiken aus.[63]
  • Unterstützung für bis zu vier DIMMs mit bis zu DDR3-1866-Speicher
  • 5 GT / s UMI
  • Integrierter PCIe 2.0-Controller
  • Ausgewählte Modelle unterstützen die Turbo Core-Technologie für einen schnelleren CPU-Betrieb, wenn die thermischen Spezifikationen dies zulassen
  • Ausgewählte Modelle unterstützen die Hybrid Graphics-Technologie, um eine diskrete Radeon HD 6450-, 6570- oder 6670-Grafikkarte zu unterstützen. Dies ähnelt der aktuellen Hybrid CrossFireX-Technologie der AMD 700- und 800-Chipsatzserien
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, Cool’n’Quiet, AMD-V
  • Modelle: Lynx-Desktop-APUs und -CPUs

Turion II (Ultra) Modelle[edit]

“”Kaspisch“(45 nm SOI, Dual-Core)[edit]

  • Tigris Plattform[64]
  • Zwei AMD K10-Kerne
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR2 SDRAM (bis zu 800 MHz)
  • Modelle: Turion II Ultra M600 bis M660

Turion II Modelle[edit]

“”Kaspisch“(45 nm SOI, Dual-Core)[edit]

  • Tigris Plattform
  • Zwei AMD K10-Kerne
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR2 SDRAM (bis zu 800 MHz)
  • Modelle: Turion II M500 bis M560

“”Champlain“(45 nm SOI, Dual-Core)[edit]

  • Donau Plattform[65][66]
  • Zwei AMD K10-Kerne
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)
  • Modelle: Turion II-Modelle

Athlon II Modelle[edit]

“”Kaspisch“(45 nm SOI, Dual-Core)[edit]

  • Tigris Plattform
  • Zwei AMD K10-Kerne
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR2 SDRAM (bis zu 800 MHz)
  • Modelle: Athlon II M300 bis M360

“”Champlain“(45 nm SOI, Dual-Core)[edit]

  • Donau Plattform
  • Zwei AMD K10-Kerne
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)
  • Modelle: Athlon II Modelle

Sempron-Modelle[edit]

“”Kaspisch“(45 nm SOI, Single-Core)[edit]

  • Tigris Plattform
  • Einzelner AMD K10 Kern
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR2 SDRAM (bis zu 800 MHz)
  • Modelle: Sempron M100 bis M140

Turion II Neo Modelle[edit]

“”Genf“(45 nm SOI, Dual-Core)[edit]

  • Nil Plattform[65][67]
  • Zwei AMD K10-Kerne
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1066 MHz)
  • Modelle: Turion II Neo Modelle

Athlon II Neo Modelle[edit]

“”Genf“(45 nm SOI, Dual-Core)[edit]

  • Nil Plattform
  • Zwei AMD K10-Kerne
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1066 MHz)
  • Modelle: Athlon II Neo Modelle

“”Genf“(45 nm SOI, Single-Core)[edit]

  • Nil Plattform
  • Einzelner AMD K10 Kern
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1066 MHz)
  • Modelle: Athlon II K125 und K145

V Modelle[edit]

“”Genf“(45 nm SOI, Single-Core)[edit]

  • Nil Plattform
  • Einzelner AMD K10 Kern
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1066 MHz)
  • Modelle: V 105

“”Champlain“(45 nm SOI, Single-Core)[edit]

  • Donau Plattform
  • Einzelner AMD K10 Kern
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)
  • Modelle: V 120 bis 160

Phenom II-Modelle[edit]

“”Champlain“(45 nm SOI, Quad-Core)[edit]

  • Donau Plattform
  • Vier AMD K10-Kerne
  • Im Gegensatz zu Desktop-Modellen verfügen mobile Phenom II-Modelle nicht über einen L3-Cache
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)
  • Modelle: Phenom II-Modelle

“”Champlain(45 nm SOI, Tri-Core)[edit]

  • Donau Plattform
  • Drei AMD K10-Kerne
  • Im Gegensatz zu Desktop-Modellen verfügen mobile Phenom II-Modelle nicht über einen L3-Cache
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)
  • Modelle: Phenom II-Modelle

“”Champlain“(45 nm SOI, Dual-Core)[edit]

  • Donau Plattform
  • Zwei AMD K10-Kerne
  • Im Gegensatz zu Desktop-Modellen verfügen mobile Phenom II-Modelle nicht über einen L3-Cache
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Speicherunterstützung: DDR3-SDRAM, DDR3L-SDRAM (bis zu 1333 MHz)
  • Modelle: Phenom II-Modelle

Llano APUs[edit]

“”Sabine“(32 nm SOI, Dual oder Quad-Core)[edit]

  • Herstellung 32 nm im SOI-Prozess von GlobalFoundries
  • Buchse FS1
  • Zwei oder vier verbesserte K10-Kerne mit dem Codenamen Heiser[citation needed] (K10.5[citation needed]) ohne L3-Cache und mit Redwood-klasse integrierte Grafiken auf dem Würfel (WinterPark für die Dual-Core-Varianten und BeaverCreek für die Quad-Core-Varianten)
  • Integrierter PCIe 2.0-Controller
  • GPU: TeraScale 2
  • Ausgewählte Modelle unterstützen die Turbo Core-Technologie für einen schnelleren CPU-Betrieb, wenn die thermischen Spezifikationen dies zulassen
  • 2,5 GT / s UMI
  • ISA-Erweiterungen: MMX, Enhanced 3DNow!, SSE, SSE2, SSE3, SSE4a, ABM, NX-Bit, AMD64, AMD-V, PowerNow!
  • Unterstützung für 1,35 V DDR3L-1333-Speicher zusätzlich zu dem angegebenen regulären 1,5 V DDR3-Speicher
  • Modelle: Sabine mobile APUs

Es gibt zwei Generationen von K10-basierten Prozessoren für Server: Opteron 65 nm und 45 nm.

Nachfolger[edit]

AMD stellte die Weiterentwicklung von K10-basierten CPUs nach Thuban ein und konzentrierte sich auf Fusion-Produkte für Mainstream-Desktops und -Laptops sowie Bulldozer-basierte Produkte für den Performance-Markt. Innerhalb der Fusion-Produktfamilie verwendeten APUs wie die Chips der ersten Generation der A4-, A6- und A8-Serie (Llano-APUs) weiterhin K10-abgeleitete CPU-Kerne in Verbindung mit einem Radeon-Grafikkern. K10 und seine Derivate wurden durch die Einführung von APUs auf Trinity-Basis im Jahr 2012 aus der Produktion genommen, die die K10-Kerne in der APU durch von Bulldozer abgeleitete Kerne ersetzten.

Derivate der Familie 11h und 12h[edit]

Turion X2 Ultra Familie 11h[edit]

Die Family 11h-Mikroarchitektur war eine Mischung aus K8- und K10-Designs mit geringerem Stromverbrauch für Laptops, die als Turion X2 Ultra vermarktet und später durch vollständig K10-basierte Designs ersetzt wurde.[1]

Fusionsfamilie 12h[edit]

Die Family 12h-Mikroarchitektur ist eine Ableitung des K10-Designs:[68][69]

  • Sowohl die CPU als auch die GPU wurden wiederverwendet, um Komplexität und Risiken zu vermeiden
  • Die ausgeprägte Software- und physische Integration unterscheidet die Mikroarchitekturen von Fusion (APU)
  • Energiesparende Verbesserungen einschließlich Clock Gating
  • Verbesserungen am Hardware-Pre-Fetcher
  • Überarbeiteter Speichercontroller
  • 1 MB L2-Cache pro Kern
  • Kein L3-Cache
  • Zwei neue Busse für die On-Die-GPU für den Zugriff auf den Speicher (sogenannte Onion- und Garlic-Schnittstellen)
    • AMD Fusion Compute Link (Onion) – Schnittstellen zum CPU-Cache und zum kohärenten Systemspeicher (siehe Cache-Kohärenz)
    • Radeon Memory Bus (Knoblauch) – dedizierte nicht kohärente Schnittstelle, die direkt mit dem Speicher verbunden ist

Mediendiskussionen[edit]

Hinweis: Diese Mediendiskussionen sind nach aufsteigendem Veröffentlichungsdatum aufgeführt.

Siehe auch[edit]

Verweise[edit]

  1. ^ ein b “Liste der AMD-CPU-Mikroarchitekturen – LeonStudio”. LeonStudio – CodeFun. 3. August 2014. Abgerufen 12. September 2015.
  2. ^ AMDs K10 ist verzögert oder tot, Der Ermittler
  3. ^ Hesseldahl, Arik (06.07.2000). “Warum coole Chip-Codenamen sterben”. forbes.com. Forbes.com Inc.. Abgerufen 2007-07-14.
  4. ^ Der Inquirer-Bericht Archiviert 6. September 2007 an der Wayback-Maschine
  5. ^ ein b Valich, Theo. “AMD erklärt K8L-Fehlbezeichnung”. Der Ermittler. Archiviert von das Original am 10. Februar 2007. Abgerufen 2007-03-16.
  6. ^ Offizielle Ankündigung der “AMD Next Generation Processor Technology”
  7. ^ Videointerview von Giuseppe Amato (AMDs technischer Direktor, Vertrieb und Marketing EMEA) Archiviert 2009-07-12 bei Archive.today im Februar 2007
  8. ^ Präsentationsfolie des Mikroprozessorforums 2003
  9. ^ Hall, Chris. “Mikroprozessoren neu definieren: Fragen und Antworten mit AMDs Henri Richard”. DigiTimes.com. Archiviert vom Original am 13. März 2006. Abgerufen 2007-03-18.
  10. ^ AMDs Vision für die nächsten Jahre – ein Interview mit Henri Richard
  11. ^ “AMD Opteron der nächsten Generation ebnet den Weg für Quad-Core”. crn.com. 2006-08-15. Archiviert von das Original am 06.02.2012. Abgerufen 2007-04-19.
  12. ^ “AMD liefert im August die ersten nativen x86-Quad-Core-Prozessoren der Industrie aus”. amd.com. 2007-06-29.
  13. ^ “AMD wird im September zwei in Barcelona ansässige Prozessoren auf den Markt bringen”. tgdaily.com. 2007-08-13. Archiviert von das Original am 16.10.2007.
  14. ^ dailytech.com: AMDs TLB-Prozessor-Fehler verstehen Archiviert 18.02.2009 an der Wayback-Maschine, 5. Dezember 2007
  15. ^ xbitlabs.com: … TLB-Fehler – in der Vergangenheit Archiviert 09.02.2009 an der Wayback-Maschine, 26. März 2008
  16. ^ “AMD Quad-Core Altair im dritten Quartal 2007”. HKEPC. 03.10.2006. Archiviert von das Original am 31.10.2006.
  17. ^ “AMD tritt in 2H 2007 in die K10-Ära ein”. HKEPC. 2006-10-04. Archiviert von das Original am 11.10.2006.
  18. ^ “Folien zum Analystentag 2006”. Archiviert von das Original am 21.02.2014. Abgerufen 2008-12-02.
  19. ^ Der Inquirer-Bericht Archiviert 12. September 2007 an der Wayback-Maschine
  20. ^ FudZilla-Bericht
  21. ^ FudZilla-Bericht
  22. ^ Fudzilla-Bericht, abgerufen am 1. August 2007 Archiviert 16. Oktober 2007 an der Wayback-Maschine
  23. ^ “So entschlüsseln Sie den neuen CPU-Namenscode von AMD”. Gadget Lab. 2007-06-04.
  24. ^ ein b “DailyTech-Bericht”. Archiviert von das Original am 14.10.2007. Abgerufen 2007-06-05.
  25. ^ XTReview-Image: AsRock BIOS 1.40 unterstützt die Prozessoren Athlon X2 BE-xxxx und Sempron LE-xxxx
  26. ^ “AMD überarbeitete Desktop-Modellnummernstruktur”. VR-Zone. 2007-10-09. Archiviert von das Original am 11. Oktober 2007.
  27. ^ VR-Zonenbericht, abgerufen am 9. Oktober 2007 Archiviert 11. Oktober 2007 an der Wayback-Maschine
  28. ^ “AMD demonstriert seine Quad-Core-Serverchips”. CNET.com. 30.11.2006.
  29. ^ “AMD demonstriert Barcelona; das erste echte, native Quad-Core-Opteron”. legitreviews.com. 30.11.2006.
  30. ^ “Kurzer Blick auf AMD Quad Core Barcelona”. arstechnica.com. 6. Dezember 2006.
  31. ^ Der Inquirer-Artikel Archiviert 16. Mai 2007 an der Wayback-Maschine
  32. ^ “AMD erwartet, dass Quad Core Barcelona Clovertown um 40% übertrifft”. dailytech.com. 2007-01-25. Archiviert von das Original am 2007-02-27. Abgerufen 2007-04-19.
  33. ^ “Gehen Sie nach ‘Barcelona’ über ‘Cloverton“”. CNET.com. 2007-01-23.
  34. ^ “TGDaily-Bericht”. Archiviert von das Original am 26.09.2007. Abgerufen 2007-05-11.
  35. ^ “AMD skizziert Quad Core Computing”. www.pcpro.co.uk. 2006-09-19. Archiviert von das Original am 2007-04-27. Abgerufen 2007-04-19.
  36. ^ “Intel zieht sich vom FB-DIMM zurück”. enquirer.net. 07.09.2006. Archiviert von das Original am 2012-09-12.
  37. ^ “Kein Schocker hier”. legitreviews.com. 2006-09-15.
  38. ^ “DailyTech-Bericht”. Archiviert von das Original am 2007-02-12. Abgerufen 2007-04-19.
  39. ^ “AMD Quad Cores: Die ganze Geschichte entfaltet”. enquirer.net. 2006-09-16. Archiviert von das Original am 19. Mai 2007.
  40. ^ AMD Ankündigung, abgerufen am 17. September 2007
  41. ^ ComputerWorld-Bericht Archiviert 12. Oktober 2007, an der Wayback-Maschine, abgerufen am 9. Oktober 2007
  42. ^ BetaNews-Bericht, abgerufen am 17. September 2007
  43. ^ BetaNews Interview, abgerufen am 17. September 2007
  44. ^ “AMD: 45 nm, DDR3 und AM3 im Jahr 2008”. dailytech.com. 2007-05-02. Archiviert von das Original am 05.05.2007. Abgerufen 2007-05-02.
  45. ^ “Ein AMD-Update: Fab 36 beginnt mit dem Versand, plant den 65-nm-Prozess und die AM2-Leistung”. AnandTech. 2006-04-04.
  46. ^ Seite 2006 AMD Analyst Day 2006 Archiviert 17. Mai 2009 an der Wayback-Maschine
  47. ^ Ostrander, Daryl. “Folien zum Technology Analyst Day 2006” (PDF). Moderne Mikrogeräte. Archiviert von das Original (PDF) am 13. Januar 2007. Abgerufen 2007-03-19.
  48. ^ “AMDs Star der nächsten Generation unterstützt DDR2-1066 und SSE4a”. HKEPC-Hardware. Abgerufen 2007-03-19.
  49. ^ Shimpi, Anand Lal. “Barcelona Architektur: AMD auf dem Gegenangriff”. AnandTech. Archiviert vom Original am 19. März 2007. Abgerufen 2007-03-18.
  50. ^ Fall, Loyd. “AMD enthüllt Quad-Core-Details von Barcelona”. Ziff Davis. Abgerufen 2007-03-18.[dead link]
  51. ^ “AMD Next Generation Processor Technology Slides”. HardOCP. 2006-08-22.
  52. ^ “BIOS- und Kernel-Entwicklerhandbuch (BKDG) für 10-Stunden-Prozessoren der AMD-Familie” (PDF). p. 24. Archiviert von das Original (PDF) am 9. Juni 2011. Abgerufen 2010-05-29. Der physische Adressraum wurde auf 48 Bit erhöht.
  53. ^ “BIOS- und Kernel-Entwicklerhandbuch (BKDG) für 15h-Modelle der AMD-Familie 10h-1Fh-Prozessoren” (PDF). support.amd.com. Moderne Mikrogeräte. 4. Juni 2013. p. 340. Abgerufen 25. Januar, 2015.
  54. ^ In diesem Artikel bezeichnen die herkömmlichen Präfixe für den Computerspeicher Basis-2-Werte, wobei “Kilobyte” (KB) = 2 ist10 Bytes.
  55. ^ ein b c d e f G h ich https://docs.google.com/spreadsheets/d/19Ms49ip5PBB7nYnf5urxsySvH-Sdy6liE2EBDaB8b54
  56. ^ http://www.legitreviews.com/article/1009/2/
  57. ^ ein b “AMD Athlon II Key Architectural Features”. Moderne Mikrogeräte. Archiviert von das Original am 2. Dezember 2010. Abgerufen 8. Juli 2010.
  58. ^ Athlon II: Viele neue Aufgaben der neuen Einsteiger-Prozessoren von AMD
  59. ^ In arrivo nuovi processori Athlon II da AMD Archiviert 10. Juli 2011 an der Wayback-Maschine
  60. ^ “AMD Phenom II X6: Thuban der Drache”. Archiviert von das Original am 16.07.2014. Abgerufen 2018-03-29.
  61. ^ Theo Valich (28. Mai 2012). “AMD macht Transistornummern mit FX- und Fusionsprozessoren sauber”. Abgerufen 23. August 2013.
  62. ^ Anand Lal Shimpi (27. September 2012). “AMD A10-5800K & A8-5600K Review: Trinity auf dem Desktop, Teil 1”. Abgerufen 23. August 2013.
  63. ^ “AMD bringt die A-Serie und die ersten 32-nm-Athlon II X4-CPUs auf den Markt”. Abgerufen 2013-11-10.
  64. ^ “Die AMD Mainstream-Plattform 2009”. Amd.com. Archiviert von das Original am 27.05.2012. Abgerufen 2014-04-30.
  65. ^ ein b “AMD M880G Chipsatz”. Amd.com. Abgerufen 2014-04-30.
  66. ^ “Die AMD Mainstream-Plattform 2010”. Amd.com. Abgerufen 2014-04-30.
  67. ^ “Die AMD Ultradünne Plattform 2010”. Amd.com. Archiviert von das Original am 31.10.2012. Abgerufen 2014-04-30.
  68. ^ David Kanter (27. Juni 2011). “AMD Fusion Architecture und Llano”. Real World Tech. Abgerufen 12. September 2015.
  69. ^ Pierre Boudier; Graham Sellers (Juni 2011). “Speichersystem auf Fusion-APUs – Die Vorteile von Zero Copy”. AMD Fusion Developer Summit.

Externe Links[edit]


after-content-x4